annotate lisp/progmodes/verilog-mode.el @ 110379:ba4844f77a64

* net/tramp-cmds.el (tramp-cleanup-connection): Set tramp-autoload cookie.
author Michael Albinus <michael.albinus@gmx.de>
date Thu, 16 Sep 2010 22:43:12 +0200
parents 9b941507a02c
children bf6806de6892
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1 ;; verilog-mode.el --- major mode for editing verilog source in Emacs
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
2
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
3 ;; Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
106815
1d1d5d9bd884 Add 2010 to copyright years.
Glenn Morris <rgm@gnu.org>
parents: 106534
diff changeset
4 ;; 2005, 2006, 2007, 2008, 2009, 2010 Free Software Foundation, Inc.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6 ;; Author: Michael McNamara (mac@verilog.com)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7 ;; http://www.verilog.com
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9 ;; AUTO features, signal, modsig; by: Wilson Snyder
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10 ;; (wsnyder@wsnyder.org)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11 ;; http://www.veripool.org
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
12 ;; Keywords: languages
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
13
94116
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
14 ;; Yoni Rabkin <yoni@rabkins.net> contacted the maintainer of this
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
15 ;; file on 19/3/2008, and the maintainer agreed that when a bug is
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
16 ;; filed in the Emacs bug reporting system against this file, a copy
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
17 ;; of the bug report be sent to the maintainer's email address.
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
18
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
19 ;; This code supports Emacs 21.1 and later
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
20 ;; And XEmacs 21.1 and later
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
21 ;; Please do not make changes that break Emacs 21. Thanks!
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
22 ;;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
23 ;;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
24
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
25 ;; This file is part of GNU Emacs.
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
26
94673
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
27 ;; GNU Emacs is free software: you can redistribute it and/or modify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
28 ;; it under the terms of the GNU General Public License as published by
94673
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
29 ;; the Free Software Foundation, either version 3 of the License, or
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
30 ;; (at your option) any later version.
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
31
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
32 ;; GNU Emacs is distributed in the hope that it will be useful,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
33 ;; but WITHOUT ANY WARRANTY; without even the implied warranty of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
34 ;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
35 ;; GNU General Public License for more details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
36
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
37 ;; You should have received a copy of the GNU General Public License
94673
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
38 ;; along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
39
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
40 ;;; Commentary:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
41
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
42 ;; This mode borrows heavily from the Pascal-mode and the cc-mode of Emacs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
43
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
44 ;; USAGE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
45 ;; =====
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
46
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
47 ;; A major mode for editing Verilog HDL source code. When you have
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
48 ;; entered Verilog mode, you may get more info by pressing C-h m. You
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
49 ;; may also get online help describing various functions by: C-h f
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
50 ;; <Name of function you want described>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
51
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
52 ;; KNOWN BUGS / BUG REPORTS
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
53 ;; =======================
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
54
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
55 ;; Verilog is a rapidly evolving language, and hence this mode is
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
56 ;; under continuous development. Hence this is beta code, and likely
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
57 ;; has bugs. Please report any issues to the issue tracker at
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
58 ;; http://www.veripool.org/verilog-mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
59 ;; Please use verilog-submit-bug-report to submit a report; type C-c
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
60 ;; C-b to invoke this and as a result I will have a much easier time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
61 ;; of reproducing the bug you find, and hence fixing it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
62
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
63 ;; INSTALLING THE MODE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
64 ;; ===================
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
65
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
66 ;; An older version of this mode may be already installed as a part of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
67 ;; your environment, and one method of updating would be to update
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
68 ;; your Emacs environment. Sometimes this is difficult for local
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
69 ;; political/control reasons, and hence you can always install a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
70 ;; private copy (or even a shared copy) which overrides the system
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
71 ;; default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
72
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
73 ;; You can get step by step help in installing this file by going to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
74 ;; <http://www.verilog.com/emacs_install.html>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
75
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
76 ;; The short list of installation instructions are: To set up
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
77 ;; automatic Verilog mode, put this file in your load path, and put
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
78 ;; the following in code (please un comment it first!) in your
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
79 ;; .emacs, or in your site's site-load.el
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
80
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
81 ; (autoload 'verilog-mode "verilog-mode" "Verilog mode" t )
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
82 ; (add-to-list 'auto-mode-alist '("\\.[ds]?vh?\\'" . verilog-mode))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
83
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
84 ;; If you want to customize Verilog mode to fit your needs better,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
85 ;; you may add these lines (the values of the variables presented
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
86 ;; here are the defaults). Note also that if you use an Emacs that
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
87 ;; supports custom, it's probably better to use the custom menu to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
88 ;; edit these.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
89 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
90 ;; Be sure to examine at the help for verilog-auto, and the other
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
91 ;; verilog-auto-* functions for some major coding time savers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
92 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
93 ; ;; User customization for Verilog mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
94 ; (setq verilog-indent-level 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
95 ; verilog-indent-level-module 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
96 ; verilog-indent-level-declaration 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
97 ; verilog-indent-level-behavioral 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
98 ; verilog-indent-level-directive 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
99 ; verilog-case-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
100 ; verilog-auto-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
101 ; verilog-auto-indent-on-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
102 ; verilog-tab-always-indent t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
103 ; verilog-auto-endcomments t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
104 ; verilog-minimum-comment-distance 40
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
105 ; verilog-indent-begin-after-if t
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
106 ; verilog-auto-lineup 'declarations
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
107 ; verilog-highlight-p1800-keywords nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
108 ; verilog-linter "my_lint_shell_command"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
109 ; )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
110
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
111 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
112
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
113 ;;; History:
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
114 ;;
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
115 ;; See commit history at http://www.veripool.org/verilog-mode.html
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
116 ;; (This section is required to appease checkdoc.)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
117
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
118 ;;; Code:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
120 ;; This variable will always hold the version number of the mode
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
121 (defconst verilog-mode-version "565"
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
122 "Version of this Verilog mode.")
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
123 (defconst verilog-mode-release-date "2010-03-01-GNU"
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
124 "Release date of this Verilog mode.")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
125 (defconst verilog-mode-release-emacs t
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
126 "If non-nil, this version of Verilog mode was released with Emacs itself.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
127
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
128 (defun verilog-version ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
129 "Inform caller of the version of this file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
130 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
131 (message "Using verilog-mode version %s" verilog-mode-version))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
132
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
133 ;; Insure we have certain packages, and deal with it if we don't
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
134 ;; Be sure to note which Emacs flavor and version added each feature.
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
135 (eval-when-compile
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
136 ;; Provide stuff if we are XEmacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
137 (when (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
138 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
139 (require 'easymenu)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
140 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
141 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
142 (require 'regexp-opt)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
143 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
144 ;; Bug in 19.28 through 19.30 skeleton.el, not provided.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
145 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
146 (load "skeleton")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
147 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
148 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
149 (if (fboundp 'when)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
150 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
151 (defmacro when (cond &rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
152 (list 'if cond (cons 'progn body))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
153 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
154 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
155 (if (fboundp 'unless)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
156 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
157 (defmacro unless (cond &rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
158 (cons 'if (cons cond (cons nil body)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
159 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
160 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
161 (if (fboundp 'store-match-data)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
162 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
163 (defmacro store-match-data (&rest args) nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
164 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
165 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
166 (if (fboundp 'char-before)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
167 nil ;; great
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
168 (defmacro char-before (&rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
169 (char-after (1- (point)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
170 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
171 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
172 (require 'custom)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
173 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
174 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
175 (if (fboundp 'match-string-no-properties)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
176 nil ;; great
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
177 (defsubst match-string-no-properties (num &optional string)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
178 "Return string of text matched by last search, without text properties.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
179 NUM specifies which parenthesized expression in the last regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
180 Value is nil if NUMth pair didn't match, or there were less than NUM pairs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
181 Zero means the entire text matched by the whole regexp or whole string.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
182 STRING should be given if the last search was by `string-match' on STRING."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
183 (if (match-beginning num)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
184 (if string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
185 (let ((result
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
186 (substring string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
187 (match-beginning num) (match-end num))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
188 (set-text-properties 0 (length result) nil result)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
189 result)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
190 (buffer-substring-no-properties (match-beginning num)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
191 (match-end num)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
192 (current-buffer)))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
193 )
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
194 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
195 (if (and (featurep 'custom) (fboundp 'custom-declare-variable))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
196 nil ;; We've got what we needed
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
197 ;; We have the old custom-library, hack around it!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
198 (defmacro defgroup (&rest args) nil)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
199 (defmacro customize (&rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
200 (message
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
201 "Sorry, Customize is not available with this version of Emacs"))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
202 (defmacro defcustom (var value doc &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
203 `(defvar ,var ,value ,doc))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
204 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
205 (if (fboundp 'defface)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
206 nil ; great!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
207 (defmacro defface (var values doc &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
208 `(make-face ,var))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
209 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
210
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
211 (if (and (featurep 'custom) (fboundp 'customize-group))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
212 nil ;; We've got what we needed
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
213 ;; We have an intermediate custom-library, hack around it!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
214 (defmacro customize-group (var &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
215 `(customize ,var))
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
216 ))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
217 ;; OK, do this stuff if we are NOT XEmacs:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
218 (unless (featurep 'xemacs)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
219 (unless (fboundp 'region-active-p)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
220 (defmacro region-active-p ()
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
221 `(and transient-mark-mode mark-active))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
222 )
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
223
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
224 ;; Provide a regular expression optimization routine, using regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
225 ;; if provided by the user's elisp libraries
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
226 (eval-and-compile
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
227 ;; The below were disabled when GNU Emacs 22 was released;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
228 ;; perhaps some still need to be there to support Emacs 21.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
229 (if (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
230 (if (fboundp 'regexp-opt)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
231 ;; regexp-opt is defined, does it take 3 or 2 arguments?
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
232 (if (fboundp 'function-max-args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
233 (let ((args (function-max-args `regexp-opt)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
234 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
235 ((eq args 3) ;; It takes 3
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
236 (condition-case nil ; Hide this defun from emacses
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
237 ;with just a two input regexp
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
238 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
239 "Deal with differing number of required arguments for `regexp-opt'.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
240 Call 'regexp-opt' on A and B."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
241 (regexp-opt a b 't))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
242 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
243 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
244 ((eq args 2) ;; It takes 2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
245 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
246 "Call 'regexp-opt' on A and B."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
247 (regexp-opt a b))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
248 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
249 (t nil)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
250 ;; We can't tell; assume it takes 2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
251 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
252 "Call 'regexp-opt' on A and B."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
253 (regexp-opt a b))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
254 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
255 ;; There is no regexp-opt, provide our own
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
256 (defun verilog-regexp-opt (strings &optional paren shy)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
257 (let ((open (if paren "\\(" "")) (close (if paren "\\)" "")))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
258 (concat open (mapconcat 'regexp-quote strings "\\|") close)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
259 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
260 ;; Emacs.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
261 (defalias 'verilog-regexp-opt 'regexp-opt)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
262
79555
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
263 (eval-when-compile
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
264 (defun verilog-regexp-words (a)
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
265 "Call 'regexp-opt' with word delimiters for the words A."
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
266 (concat "\\<" (verilog-regexp-opt a t) "\\>")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
267
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
268 (defun verilog-easy-menu-filter (menu)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
269 "Filter `easy-menu-define' MENU to support new features."
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
270 (cond ((not (featurep 'xemacs))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
271 menu) ;; GNU Emacs - passthru
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
272 ;; Xemacs doesn't support :help. Strip it.
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
273 ;; Recursively filter the a submenu
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
274 ((listp menu)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
275 (mapcar 'verilog-easy-menu-filter menu))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
276 ;; Look for [:help "blah"] and remove
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
277 ((vectorp menu)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
278 (let ((i 0) (out []))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
279 (while (< i (length menu))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
280 (if (equal `:help (aref menu i))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
281 (setq i (+ 2 i))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
282 (setq out (vconcat out (vector (aref menu i)))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
283 i (1+ i))))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
284 out))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
285 (t menu))) ;; Default - ok
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
286 ;;(verilog-easy-menu-filter
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
287 ;; `("Verilog" ("MA" ["SAA" nil :help "Help SAA"] ["SAB" nil :help "Help SAA"])
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
288 ;; "----" ["MB" nil :help "Help MB"]))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
289
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
290 (defun verilog-customize ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
291 "Customize variables and other settings used by Verilog-Mode."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
292 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
293 (customize-group 'verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
294
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
295 (defun verilog-font-customize ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
296 "Customize fonts used by Verilog-Mode."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
297 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
298 (if (fboundp 'customize-apropos)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
299 (customize-apropos "font-lock-*" 'faces)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
300
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
301 (defun verilog-booleanp (value)
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
302 "Return t if VALUE is boolean.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
303 This implements GNU Emacs 22.1's `booleanp' function in earlier Emacs.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
304 This function may be removed when Emacs 21 is no longer supported."
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
305 (or (equal value t) (equal value nil)))
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
306
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
307 (defun verilog-insert-last-command-event ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
308 "Insert the `last-command-event'."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
309 (insert (if (featurep 'xemacs)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
310 ;; XEmacs 21.5 doesn't like last-command-event
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
311 last-command-char
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
312 ;; And GNU Emacs 22 has obsoleted last-command-char
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
313 last-command-event)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
314
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
315 (defalias 'verilog-syntax-ppss
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
316 (if (fboundp 'syntax-ppss) 'syntax-ppss
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
317 (lambda (&optional pos) (parse-partial-sexp (point-min) (or pos (point))))))
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
318
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
319 (defgroup verilog-mode nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
320 "Facilitates easy editing of Verilog source text."
80261
4af0bb174714 * textmodes/css-mode.el (css-indent-offset, css-electric-keys):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80172
diff changeset
321 :version "22.2"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
322 :group 'languages)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
323
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
324 ; (defgroup verilog-mode-fonts nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
325 ; "Facilitates easy customization fonts used in Verilog source text"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
326 ; :link '(customize-apropos "font-lock-*" 'faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
327 ; :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
328
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
329 (defgroup verilog-mode-indent nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
330 "Customize indentation and highlighting of Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
331 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
332
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
333 (defgroup verilog-mode-actions nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
334 "Customize actions on Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
335 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
336
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
337 (defgroup verilog-mode-auto nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
338 "Customize AUTO actions when expanding Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
339 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
340
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
341 (defcustom verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
342 "echo 'No verilog-linter set, see \"M-x describe-variable verilog-linter\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
343 "*Unix program and arguments to call to run a lint checker on Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
344 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
345 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
346 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
347 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
348 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
349 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
350
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
351 (defcustom verilog-coverage
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
352 "echo 'No verilog-coverage set, see \"M-x describe-variable verilog-coverage\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
353 "*Program and arguments to use to annotate for coverage Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
354 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
355 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
356 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
357 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
358 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
359 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
360
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
361 (defcustom verilog-simulator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
362 "echo 'No verilog-simulator set, see \"M-x describe-variable verilog-simulator\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
363 "*Program and arguments to use to interpret Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
364 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
365 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
366 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
367 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
368 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
369 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
370
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
371 (defcustom verilog-compiler
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
372 "echo 'No verilog-compiler set, see \"M-x describe-variable verilog-compiler\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
373 "*Program and arguments to use to compile Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
374 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
375 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
376 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
377 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
378 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
379 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
380
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
381 (defvar verilog-tool 'verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
382 "Which tool to use for building compiler-command.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
383 Either nil, `verilog-linter, `verilog-coverage, `verilog-simulator, or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
384 `verilog-compiler. Alternatively use the \"Choose Compilation Action\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
385 menu. See `verilog-set-compile-command' for more information.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
386
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
387 (defcustom verilog-highlight-translate-off nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
388 "*Non-nil means background-highlight code excluded from translation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
389 That is, all code between \"// synopsys translate_off\" and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
390 \"// synopsys translate_on\" is highlighted using a different background color
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
391 \(face `verilog-font-lock-translate-off-face').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
392
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
393 Note: This will slow down on-the-fly fontification (and thus editing).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
395 Note: Activate the new setting in a Verilog buffer by re-fontifying it (menu
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
396 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
397 :type 'boolean
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
398 :group 'verilog-mode-indent)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
399 ;; Note we don't use :safe, as that would break on Emacsen before 22.0.
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
400 (put 'verilog-highlight-translate-off 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
401
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
402 (defcustom verilog-auto-lineup 'declarations
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
403 "*Type of statements to lineup across multiple lines.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
404 If 'all' is selected, then all line ups described below are done.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
405
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
406 If 'declaration', then just declarations are lined up with any
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
407 preceding declarations, taking into account widths and the like,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
408 so or example the code:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
409 reg [31:0] a;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
410 reg b;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
411 would become
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
412 reg [31:0] a;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
413 reg b;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
414
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
415 If 'assignment', then assignments are lined up with any preceding
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
416 assignments, so for example the code
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
417 a_long_variable <= b + c;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
418 d = e + f;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
419 would become
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
420 a_long_variable <= b + c;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
421 d = e + f;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
422
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
423 In order to speed up editing, large blocks of statements are lined up
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
424 only when a \\[verilog-pretty-expr] is typed; and large blocks of declarations
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
425 are lineup only when \\[verilog-pretty-declarations] is typed."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
426
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
427 :type '(radio (const :tag "Line up Assignments and Declarations" all)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
428 (const :tag "Line up Assignment statements" assignments )
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
429 (const :tag "Line up Declarations" declarations)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
430 (function :tag "Other"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
431 :group 'verilog-mode-indent )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
432
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
433 (defcustom verilog-indent-level 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
434 "*Indentation of Verilog statements with respect to containing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
435 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
436 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
437 (put 'verilog-indent-level 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
438
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
439 (defcustom verilog-indent-level-module 3
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
440 "*Indentation of Module level Verilog statements (eg always, initial).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
441 Set to 0 to get initial and always statements lined up on the left side of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
442 your screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
443 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
444 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
445 (put 'verilog-indent-level-module 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
446
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
447 (defcustom verilog-indent-level-declaration 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
448 "*Indentation of declarations with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
449 Set to 0 to get them list right under containing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
450 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
451 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
452 (put 'verilog-indent-level-declaration 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
453
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
454 (defcustom verilog-indent-declaration-macros nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
455 "*How to treat macro expansions in a declaration.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
456 If nil, indent as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
457 input [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
458 input `CP;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
459 output c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
460 If non nil, treat as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
461 input [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
462 input `CP ;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
463 output c;"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
464 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
465 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
466 (put 'verilog-indent-declaration-macros 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
468 (defcustom verilog-indent-lists t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
469 "*How to treat indenting items in a list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
470 If t (the default), indent as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
471 always @( posedge a or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
472 reset ) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
474 If nil, treat as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
475 always @( posedge a or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
476 reset ) begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
477 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
478 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
479 (put 'verilog-indent-lists 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
480
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
481 (defcustom verilog-indent-level-behavioral 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
482 "*Absolute indentation of first begin in a task or function block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
483 Set to 0 to get such code to start at the left side of the screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
484 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
485 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
486 (put 'verilog-indent-level-behavioral 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
487
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
488 (defcustom verilog-indent-level-directive 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
489 "*Indentation to add to each level of `ifdef declarations.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
490 Set to 0 to have all directives start at the left side of the screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
491 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
492 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
493 (put 'verilog-indent-level-directive 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
494
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
495 (defcustom verilog-cexp-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
496 "*Indentation of Verilog statements split across lines."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
497 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
498 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
499 (put 'verilog-cexp-indent 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
500
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
501 (defcustom verilog-case-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
502 "*Indentation for case statements."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
503 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
504 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
505 (put 'verilog-case-indent 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
506
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
507 (defcustom verilog-auto-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
508 "*True means automatically newline after semicolons."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
509 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
510 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
511 (put 'verilog-auto-newline 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
512
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
513 (defcustom verilog-auto-indent-on-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
514 "*True means automatically indent line after newline."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
515 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
516 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
517 (put 'verilog-auto-indent-on-newline 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
518
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
519 (defcustom verilog-tab-always-indent t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
520 "*True means TAB should always re-indent the current line.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
521 A nil value means TAB will only reindent when at the beginning of the line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
522 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
523 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
524 (put 'verilog-tab-always-indent 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
525
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
526 (defcustom verilog-tab-to-comment nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
527 "*True means TAB moves to the right hand column in preparation for a comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
528 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
529 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
530 (put 'verilog-tab-to-comment 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
531
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
532 (defcustom verilog-indent-begin-after-if t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
533 "*If true, indent begin statements following if, else, while, for and repeat.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
534 Otherwise, line them up."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
535 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
536 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
537 (put 'verilog-indent-begin-after-if 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
538
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
539
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
540 (defcustom verilog-align-ifelse nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
541 "*If true, align `else' under matching `if'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
542 Otherwise else is lined up with first character on line holding matching if."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
543 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
544 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
545 (put 'verilog-align-ifelse 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
546
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
547 (defcustom verilog-minimum-comment-distance 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
548 "*Minimum distance (in lines) between begin and end required before a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
549 Setting this variable to zero results in every end acquiring a comment; the
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
550 default avoids too many redundant comments in tight quarters."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
551 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
552 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
553 (put 'verilog-minimum-comment-distance 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
554
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
555 (defcustom verilog-highlight-p1800-keywords nil
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
556 "*True means highlight words newly reserved by IEEE-1800.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
557 These will appear in `verilog-font-lock-p1800-face' in order to gently
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
558 suggest changing where these words are used as variables to something else.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
559 A nil value means highlight these words as appropriate for the SystemVerilog
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
560 IEEE-1800 standard. Note that changing this will require restarting Emacs
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
561 to see the effect as font color choices are cached by Emacs."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
562 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
563 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
564 (put 'verilog-highlight-p1800-keywords 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
565
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
566 (defcustom verilog-highlight-grouping-keywords nil
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
567 "*True means highlight grouping keywords 'begin' and 'end' more dramatically.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
568 If false, these words are in the `font-lock-type-face'; if True then they are in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
569 `verilog-font-lock-ams-face'. Some find that special highlighting on these
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
570 grouping constructs allow the structure of the code to be understood at a glance."
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
571 :group 'verilog-mode-indent
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
572 :type 'boolean)
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
573 (put 'verilog-highlight-grouping-keywords 'safe-local-variable 'verilog-booleanp)
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
574
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
575 (defcustom verilog-auto-endcomments t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
576 "*True means insert a comment /* ... */ after 'end's.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
577 The name of the function or case will be set between the braces."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
578 :group 'verilog-mode-actions
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
579 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
580 (put 'verilog-auto-endcomments 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
581
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
582 (defcustom verilog-auto-ignore-concat nil
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
583 "*True means ignore signals in {...} concatenations for AUTOWIRE etc.
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
584 This will exclude signals referenced as pin connections in {...}
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
585 from AUTOWIRE, AUTOOUTPUT and friends. This flag should be set
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
586 for backward compatibility only and not set in new designs; it
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
587 may be removed in future versions."
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
588 :group 'verilog-mode-actions
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
589 :type 'boolean)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
590 (put 'verilog-auto-ignore-concat 'safe-local-variable 'verilog-booleanp)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
591
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
592 (defcustom verilog-auto-read-includes nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
593 "*True means to automatically read includes before AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
594 This will do a `verilog-read-defines' and `verilog-read-includes' before
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
595 each AUTO expansion. This makes it easier to embed defines and includes,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
596 but can result in very slow reading times if there are many or large
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
597 include files."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
598 :group 'verilog-mode-actions
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
599 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
600 (put 'verilog-auto-read-includes 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
601
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
602 (defcustom verilog-auto-save-policy nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
603 "*Non-nil indicates action to take when saving a Verilog buffer with AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
604 A value of `force' will always do a \\[verilog-auto] automatically if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
605 needed on every save. A value of `detect' will do \\[verilog-auto]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
606 automatically when it thinks necessary. A value of `ask' will query the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
607 user when it thinks updating is needed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
608
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
609 You should not rely on the 'ask or 'detect policies, they are safeguards
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
610 only. They do not detect when AUTOINSTs need to be updated because a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
611 sub-module's port list has changed."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
612 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
613 :type '(choice (const nil) (const ask) (const detect) (const force)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
614
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
615 (defcustom verilog-auto-star-expand t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
616 "*Non-nil indicates to expand a SystemVerilog .* instance ports.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
617 They will be expanded in the same way as if there was a AUTOINST in the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
618 instantiation. See also `verilog-auto-star' and `verilog-auto-star-save'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
619 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
620 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
621 (put 'verilog-auto-star-expand 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
622
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
623 (defcustom verilog-auto-star-save nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
624 "*Non-nil indicates to save to disk SystemVerilog .* instance expansions.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
625 A nil value indicates direct connections will be removed before saving.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
626 Only meaningful to those created due to `verilog-auto-star-expand' being set.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
627
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
628 Instead of setting this, you may want to use /*AUTOINST*/, which will
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
629 always be saved."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
630 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
631 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
632 (put 'verilog-auto-star-save 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
633
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
634 (defvar verilog-auto-update-tick nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
635 "Modification tick at which autos were last performed.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
636
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
637 (defvar verilog-auto-last-file-locals nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
638 "Text from file-local-variables during last evaluation.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
639
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
640 ;;; Compile support
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
641 (require 'compile)
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
642 (defvar verilog-error-regexp-added nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
643 ; List of regexps for Verilog compilers, like verilint. See compilation-error-regexp-alist
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
644 ; for the formatting.
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
645 ; Here is the version for Emacs 22:
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
646 (defvar verilog-error-regexp-emacs-alist
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
647 '(
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
648 (verilog-xl-1
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
649 "\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
650 (verilog-xl-2
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
651 "([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\(line[ \t]+\\)?\\([0-9]+\\):.*$" 1 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
652 (verilog-IES
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
653 ".*\\*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
654 (verilog-surefire-1
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
655 "[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
656 (verilog-surefire-2
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
657 "\\(WARNING\\|ERROR\\|INFO\\)[^:]*: \\([^,]+\\),\\s-+\\(line \\)?\\([0-9]+\\):" 2 4 )
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
658 (verilog-verbose
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
659 "\
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
660 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
661 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 2 5)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
662 (verilog-xsim
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
663 "\\(Error\\|Warning\\).*in file (\\([^ \t]+\\) at line *\\([0-9]+\\))" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
664 (verilog-vcs-1
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
665 "\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
666 (verilog-vcs-2
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
667 "Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
668 (verilog-vcs-3
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
669 "\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
670 (verilog-vcs-4
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
671 "syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
672 (verilog-verilator
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
673 "%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 4)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
674 (verilog-leda
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
675 "In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
676 .*
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
677 .*
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
678 .*
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
679 \\(Warning\\|Error\\|Failure\\)" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
680 ))
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
681 ;; And the version for XEmacs:
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
682 (defvar verilog-error-regexp-xemacs-alist
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
683 '(verilog
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
684 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
685 ("\\(WARNING\\|ERROR\\|INFO\\)[^:]*: \\([^,]+\\),\\s-+\\(line \\)?\\([0-9]+\\):" 2 4 )
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
686 ("\
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
687 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
688 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 2 5)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
689 ; xsim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
690 ; Error! in file /homes/mac/Axis/Xsim/test.v at line 13 [OBJ_NOT_DECLARED]
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
691 ("\\(Error\\|Warning\\).*in file (\\([^ \t]+\\) at line *\\([0-9]+\\))" 2 3)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
692 ; vcs
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
693 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
694 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
695 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
696 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 2)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
697 ; Verilator
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
698 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 4)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
699 ; verilog-xl
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
700 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 3)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
701 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 1 2) ; vxl
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
702 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 1 2)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
703 ; nc-verilog
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
704 (".*\\*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 1 2)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
705 ; Leda
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
706 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 1 2)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
707 )
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
708 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
709
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
710 (defvar verilog-error-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
711 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
712 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
713 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 2 bold t)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
714
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
715 ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
716 ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
717
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
718 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
719 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
720 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
721 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
722 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
723 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
724
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
725 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
726 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
727
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
728 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
729 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 4 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
730
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
731 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
732 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
733
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
734 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
735 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
736
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
737 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
738 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
739 ; vxl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
740 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
741 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
742
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
743 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
744 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
745
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
746 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
747 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
748 ; nc-verilog
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
749 (".*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
750 (".*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
751 ; Leda
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
752 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
753 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
754 )
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
755 "*Keywords to also highlight in Verilog *compilation* buffers.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
756
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
757 (defcustom verilog-library-flags '("")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
758 "*List of standard Verilog arguments to use for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
759 These arguments are used to find files for `verilog-auto', and match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
760 the flags accepted by a standard Verilog-XL simulator.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
761
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
762 -f filename Reads more `verilog-library-flags' from the filename.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
763 +incdir+dir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
764 -Idir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
765 -y dir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
766 +libext+.v Adds the extensions to `verilog-library-extensions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
767 -v filename Adds the filename to `verilog-library-files'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
768
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
769 filename Adds the filename to `verilog-library-files'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
770 This is not recommended, -v is a better choice.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
771
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
772 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
773 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
774
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
775 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
776 // verilog-library-flags:(\"-y dir -y otherdir\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
777 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
779 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
780 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
781 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
782
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
783 See also the variables mentioned above."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
784 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
785 :type '(repeat string))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
786 (put 'verilog-library-flags 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
787
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
788 (defcustom verilog-library-directories '(".")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
789 "*List of directories when looking for files for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
790 The directory may be relative to the current file, or absolute.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
791 Environment variables are also expanded in the directory names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
792 Having at least the current directory is a good idea.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
793
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
794 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
795 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
796
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
797 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
798 // verilog-library-directories:(\".\" \"subdir\" \"subdir2\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
799 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
800
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
801 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
802 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
803 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
804
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
805 See also `verilog-library-flags', `verilog-library-files'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
806 and `verilog-library-extensions'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
807 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
808 :type '(repeat file))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
809 (put 'verilog-library-directories 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
810
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
811 (defcustom verilog-library-files '()
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
812 "*List of files to search for modules.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
813 AUTOINST will use this when it needs to resolve a module name.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
814 This is a complete path, usually to a technology file with many standard
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
815 cells defined in it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
816
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
817 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
818 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
819
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
820 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
821 // verilog-library-files:(\"/some/path/technology.v\" \"/some/path/tech2.v\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
822 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
823
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
824 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
825 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
826 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
827
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
828 See also `verilog-library-flags', `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
829 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
830 :type '(repeat directory))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
831 (put 'verilog-library-files 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
832
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
833 (defcustom verilog-library-extensions '(".v" ".sv")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
834 "*List of extensions to use when looking for files for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
835 See also `verilog-library-flags', `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
836 :type '(repeat string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
837 :group 'verilog-mode-auto)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
838 (put 'verilog-library-extensions 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
839
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
840 (defcustom verilog-active-low-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
841 "*If set, treat signals matching this regexp as active low.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
842 This is used for AUTORESET and AUTOTIEOFF. For proper behavior,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
843 you will probably also need `verilog-auto-reset-widths' set."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
844 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
845 :type 'string)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
846 (put 'verilog-active-low-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
847
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
848 (defcustom verilog-auto-sense-include-inputs nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
849 "*If true, AUTOSENSE should include all inputs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
850 If nil, only inputs that are NOT output signals in the same block are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
851 included."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
852 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
853 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
854 (put 'verilog-auto-sense-include-inputs 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
855
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
856 (defcustom verilog-auto-sense-defines-constant nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
857 "*If true, AUTOSENSE should assume all defines represent constants.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
858 When true, the defines will not be included in sensitivity lists. To
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
859 maintain compatibility with other sites, this should be set at the bottom
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
860 of each Verilog file that requires it, rather than being set globally."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
861 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
862 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
863 (put 'verilog-auto-sense-defines-constant 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
864
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
865 (defcustom verilog-auto-reset-widths t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
866 "*If true, AUTORESET should determine the width of signals.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
867 This is then used to set the width of the zero (32'h0 for example). This
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
868 is required by some lint tools that aren't smart enough to ignore widths of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
869 the constant zero. This may result in ugly code when parameters determine
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
870 the MSB or LSB of a signal inside an AUTORESET."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
871 :type 'boolean
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
872 :group 'verilog-mode-auto)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
873 (put 'verilog-auto-reset-widths 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
874
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
875 (defcustom verilog-assignment-delay ""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
876 "*Text used for delays in delayed assignments. Add a trailing space if set."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
877 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
878 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
879 (put 'verilog-assignment-delay 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
880
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
881 (defcustom verilog-auto-arg-sort nil
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
882 "*If set, AUTOARG signal names will be sorted, not in delaration order.
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
883 Declaration order is advantageous with order based instantiations
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
884 and is the default for backward compatibility. Sorted order
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
885 reduces changes when declarations are moved around in a file, and
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
886 it's bad practice to rely on order based instantiations anyhow."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
887 :group 'verilog-mode-auto
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
888 :type 'boolean)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
889 (put 'verilog-auto-arg-sort 'safe-local-variable 'verilog-booleanp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
890
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
891 (defcustom verilog-auto-inst-param-value nil
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
892 "*If set, AUTOINST will replace parameters with the parameter value.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
893 If nil, leave parameters as symbolic names.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
894
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
895 Parameters must be in Verilog 2001 format #(...), and if a parameter is not
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
896 listed as such there (as when the default value is acceptable), it will not
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
897 be replaced, and will remain symbolic.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
898
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
899 For example, imagine a submodule uses parameters to declare the size of its
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
900 inputs. This is then used by a upper module:
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
901
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
902 module InstModule (o,i)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
903 parameter WIDTH;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
904 input [WIDTH-1:0] i;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
905 endmodule
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
906
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
907 module ExampInst;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
908 InstModule
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
909 #(PARAM(10))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
910 instName
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
911 (/*AUTOINST*/
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
912 .i (i[PARAM-1:0]));
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
913
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
914 Note even though PARAM=10, the AUTOINST has left the parameter as a
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
915 symbolic name. If `verilog-auto-inst-param-value' is set, this will
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
916 instead expand to:
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
917
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
918 module ExampInst;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
919 InstModule
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
920 #(PARAM(10))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
921 instName
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
922 (/*AUTOINST*/
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
923 .i (i[9:0]));"
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
924 :group 'verilog-mode-auto
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
925 :type 'boolean)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
926 (put 'verilog-auto-inst-param-value 'safe-local-variable 'verilog-booleanp)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
927
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
928 (defcustom verilog-auto-inst-vector t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
929 "*If true, when creating default ports with AUTOINST, use bus subscripts.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
930 If nil, skip the subscript when it matches the entire bus as declared in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
931 the module (AUTOWIRE signals always are subscripted, you must manually
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
932 declare the wire to have the subscripts removed.) Setting this to nil may
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
933 speed up some simulators, but is less general and harder to read, so avoid."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
934 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
935 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
936 (put 'verilog-auto-inst-vector 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
937
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
938 (defcustom verilog-auto-inst-template-numbers nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
939 "*If true, when creating templated ports with AUTOINST, add a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
940 The comment will add the line number of the template that was used for that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
941 port declaration. Setting this aids in debugging, but nil is suggested for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
942 regular use to prevent large numbers of merge conflicts."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
943 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
944 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
945 (put 'verilog-auto-inst-template-numbers 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
946
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
947 (defcustom verilog-auto-inst-column 40
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
948 "*Indent-to column number for net name part of AUTOINST created pin."
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
949 :group 'verilog-mode-indent
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
950 :type 'integer)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
951 (put 'verilog-auto-inst-column 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
952
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
953 (defcustom verilog-auto-input-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
954 "*If set, when creating AUTOINPUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
955 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
956 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
957 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
958 (put 'verilog-auto-input-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
959
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
960 (defcustom verilog-auto-inout-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
961 "*If set, when creating AUTOINOUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
962 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
963 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
964 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
965 (put 'verilog-auto-inout-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
966
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
967 (defcustom verilog-auto-output-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
968 "*If set, when creating AUTOOUTPUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
969 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
970 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
971 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
972 (put 'verilog-auto-output-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
973
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
974 (defcustom verilog-auto-unused-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
975 "*If set, when creating AUTOUNUSED list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
976 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
977 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
978 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
979 (put 'verilog-auto-unused-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
980
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
981 (defcustom verilog-typedef-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
982 "*If non-nil, regular expression that matches Verilog-2001 typedef names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
983 For example, \"_t$\" matches typedefs named with _t, as in the C language."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
984 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
985 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
986 (put 'verilog-typedef-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
987
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
988 (defcustom verilog-mode-hook 'verilog-set-compile-command
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
989 "*Hook run after Verilog mode is loaded."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
990 :type 'hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
991 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
992
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
993 (defcustom verilog-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
994 "*Hook run after `verilog-mode' updates AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
995 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
996 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
997
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
998 (defcustom verilog-before-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
999 "*Hook run before `verilog-mode' updates AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1000 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1001 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1002
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1003 (defcustom verilog-delete-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1004 "*Hook run after `verilog-mode' deletes AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1005 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1006 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1007
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1008 (defcustom verilog-before-delete-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1009 "*Hook run before `verilog-mode' deletes AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1010 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1011 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1012
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1013 (defcustom verilog-getopt-flags-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1014 "*Hook run after `verilog-getopt-flags' determines the Verilog option lists."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1015 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1016 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1017
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1018 (defcustom verilog-before-getopt-flags-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1019 "*Hook run before `verilog-getopt-flags' determines the Verilog option lists."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1020 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1021 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1022
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1023 (defvar verilog-imenu-generic-expression
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1024 '((nil "^\\s-*\\(\\(m\\(odule\\|acromodule\\)\\)\\|primitive\\)\\s-+\\([a-zA-Z0-9_.:]+\\)" 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1025 ("*Vars*" "^\\s-*\\(reg\\|wire\\)\\s-+\\(\\|\\[[^]]+\\]\\s-+\\)\\([A-Za-z0-9_]+\\)" 3))
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1026 "Imenu expression for Verilog mode. See `imenu-generic-expression'.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1027
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1028 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1029 ;; provide a verilog-header function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1030 ;; Customization variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1031 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1032 (defvar verilog-date-scientific-format nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1033 "*If non-nil, dates are written in scientific format (e.g. 1997/09/17).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1034 If nil, in European format (e.g. 17.09.1997). The brain-dead American
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1035 format (e.g. 09/17/1997) is not supported.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1036
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1037 (defvar verilog-company nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1038 "*Default name of Company for Verilog header.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1039 If set will become buffer local.")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1040 (make-variable-buffer-local 'verilog-company)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1041
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1042 (defvar verilog-project nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1043 "*Default name of Project for Verilog header.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1044 If set will become buffer local.")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1045 (make-variable-buffer-local 'verilog-project)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1046
79549
d9595ed9b084 * progmodes/verilog-mode.el (verilog-mode-map): Fix typo.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79547
diff changeset
1047 (defvar verilog-mode-map
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1048 (let ((map (make-sparse-keymap)))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1049 (define-key map ";" 'electric-verilog-semi)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1050 (define-key map [(control 59)] 'electric-verilog-semi-with-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1051 (define-key map ":" 'electric-verilog-colon)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1052 ;;(define-key map "=" 'electric-verilog-equal)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1053 (define-key map "\`" 'electric-verilog-tick)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1054 (define-key map "\t" 'electric-verilog-tab)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1055 (define-key map "\r" 'electric-verilog-terminate-line)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1056 ;; backspace/delete key bindings
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1057 (define-key map [backspace] 'backward-delete-char-untabify)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1058 (unless (boundp 'delete-key-deletes-forward) ; XEmacs variable
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1059 (define-key map [delete] 'delete-char)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1060 (define-key map [(meta delete)] 'kill-word))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1061 (define-key map "\M-\C-b" 'electric-verilog-backward-sexp)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1062 (define-key map "\M-\C-f" 'electric-verilog-forward-sexp)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1063 (define-key map "\M-\r" `electric-verilog-terminate-and-indent)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1064 (define-key map "\M-\t" 'verilog-complete-word)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1065 (define-key map "\M-?" 'verilog-show-completions)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1066 (define-key map "\C-c\`" 'verilog-lint-off)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1067 (define-key map "\C-c\*" 'verilog-delete-auto-star-implicit)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1068 (define-key map "\C-c\C-r" 'verilog-label-be)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1069 (define-key map "\C-c\C-i" 'verilog-pretty-declarations)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1070 (define-key map "\C-c=" 'verilog-pretty-expr)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1071 (define-key map "\C-c\C-b" 'verilog-submit-bug-report)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1072 (define-key map "\M-*" 'verilog-star-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1073 (define-key map "\C-c\C-c" 'verilog-comment-region)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1074 (define-key map "\C-c\C-u" 'verilog-uncomment-region)
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1075 (when (featurep 'xemacs)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1076 (define-key map [(meta control h)] 'verilog-mark-defun)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1077 (define-key map "\M-\C-a" 'verilog-beg-of-defun)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1078 (define-key map "\M-\C-e" 'verilog-end-of-defun))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1079 (define-key map "\C-c\C-d" 'verilog-goto-defun)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1080 (define-key map "\C-c\C-k" 'verilog-delete-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1081 (define-key map "\C-c\C-a" 'verilog-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1082 (define-key map "\C-c\C-s" 'verilog-auto-save-compile)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1083 (define-key map "\C-c\C-z" 'verilog-inject-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1084 (define-key map "\C-c\C-e" 'verilog-expand-vector)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
1085 (define-key map "\C-c\C-h" 'verilog-header)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
1086 map)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1087 "Keymap used in Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1088
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1089 ;; menus
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1090 (easy-menu-define
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1091 verilog-menu verilog-mode-map "Menu for Verilog mode"
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1092 (verilog-easy-menu-filter
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1093 '("Verilog"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1094 ("Choose Compilation Action"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1095 ["None"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1096 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1097 (setq verilog-tool nil)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1098 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1099 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1100 :selected (equal verilog-tool nil)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1101 :help "When invoking compilation, use compile-command"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1102 ["Lint"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1103 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1104 (setq verilog-tool 'verilog-linter)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1105 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1106 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1107 :selected (equal verilog-tool `verilog-linter)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1108 :help "When invoking compilation, use lint checker"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1109 ["Coverage"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1110 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1111 (setq verilog-tool 'verilog-coverage)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1112 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1113 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1114 :selected (equal verilog-tool `verilog-coverage)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1115 :help "When invoking compilation, annotate for coverage"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1116 ["Simulator"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1117 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1118 (setq verilog-tool 'verilog-simulator)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1119 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1120 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1121 :selected (equal verilog-tool `verilog-simulator)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1122 :help "When invoking compilation, interpret Verilog source"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1123 ["Compiler"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1124 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1125 (setq verilog-tool 'verilog-compiler)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1126 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1127 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1128 :selected (equal verilog-tool `verilog-compiler)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1129 :help "When invoking compilation, compile Verilog source"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1130 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1131 ("Move"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1132 ["Beginning of function" verilog-beg-of-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1133 :keys "C-M-a"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1134 :help "Move backward to the beginning of the current function or procedure"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1135 ["End of function" verilog-end-of-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1136 :keys "C-M-e"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1137 :help "Move forward to the end of the current function or procedure"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1138 ["Mark function" verilog-mark-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1139 :keys "C-M-h"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1140 :help "Mark the current Verilog function or procedure"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1141 ["Goto function/module" verilog-goto-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1142 :help "Move to specified Verilog module/task/function"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1143 ["Move to beginning of block" electric-verilog-backward-sexp
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1144 :help "Move backward over one balanced expression"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1145 ["Move to end of block" electric-verilog-forward-sexp
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1146 :help "Move forward over one balanced expression"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1147 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1148 ("Comments"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1149 ["Comment Region" verilog-comment-region
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1150 :help "Put marked area into a comment"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1151 ["UnComment Region" verilog-uncomment-region
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1152 :help "Uncomment an area commented with Comment Region"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1153 ["Multi-line comment insert" verilog-star-comment
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1154 :help "Insert Verilog /* */ comment at point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1155 ["Lint error to comment" verilog-lint-off
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1156 :help "Convert a Verilog linter warning line into a disable statement"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1157 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1158 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1159 ["Compile" compile
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1160 :help "Perform compilation-action (above) on the current buffer"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1161 ["AUTO, Save, Compile" verilog-auto-save-compile
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1162 :help "Recompute AUTOs, save buffer, and compile"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1163 ["Next Compile Error" next-error
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1164 :help "Visit next compilation error message and corresponding source code"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1165 ["Ignore Lint Warning at point" verilog-lint-off
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1166 :help "Convert a Verilog linter warning line into a disable statement"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1167 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1168 ["Line up declarations around point" verilog-pretty-declarations
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1169 :help "Line up declarations around point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1170 ["Line up equations around point" verilog-pretty-expr
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1171 :help "Line up expressions around point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1172 ["Redo/insert comments on every end" verilog-label-be
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1173 :help "Label matching begin ... end statements"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1174 ["Expand [x:y] vector line" verilog-expand-vector
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1175 :help "Take a signal vector on the current line and expand it to multiple lines"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1176 ["Insert begin-end block" verilog-insert-block
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1177 :help "Insert begin ... end"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1178 ["Complete word" verilog-complete-word
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1179 :help "Complete word at point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1180 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1181 ["Recompute AUTOs" verilog-auto
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1182 :help "Expand AUTO meta-comment statements"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1183 ["Kill AUTOs" verilog-delete-auto
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1184 :help "Remove AUTO expansions"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1185 ["Inject AUTOs" verilog-inject-auto
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1186 :help "Inject AUTOs into legacy non-AUTO buffer"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1187 ("AUTO Help..."
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1188 ["AUTO General" (describe-function 'verilog-auto)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1189 :help "Help introduction on AUTOs"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1190 ["AUTO Library Flags" (describe-variable 'verilog-library-flags)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1191 :help "Help on verilog-library-flags"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1192 ["AUTO Library Path" (describe-variable 'verilog-library-directories)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1193 :help "Help on verilog-library-directories"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1194 ["AUTO Library Files" (describe-variable 'verilog-library-files)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1195 :help "Help on verilog-library-files"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1196 ["AUTO Library Extensions" (describe-variable 'verilog-library-extensions)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1197 :help "Help on verilog-library-extensions"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1198 ["AUTO `define Reading" (describe-function 'verilog-read-defines)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1199 :help "Help on reading `defines"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1200 ["AUTO `include Reading" (describe-function 'verilog-read-includes)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1201 :help "Help on parsing `includes"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1202 ["AUTOARG" (describe-function 'verilog-auto-arg)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1203 :help "Help on AUTOARG - declaring module port list"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1204 ["AUTOASCIIENUM" (describe-function 'verilog-auto-ascii-enum)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1205 :help "Help on AUTOASCIIENUM - creating ASCII for enumerations"]
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1206 ["AUTOINOUTCOMP" (describe-function 'verilog-auto-inout-comp)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1207 :help "Help on AUTOINOUTCOMP - copying complemented i/o from another file"]
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1208 ["AUTOINOUTMODULE" (describe-function 'verilog-auto-inout-module)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1209 :help "Help on AUTOINOUTMODULE - copying i/o from another file"]
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1210 ["AUTOINSERTLISP" (describe-function 'verilog-auto-insert-lisp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1211 :help "Help on AUTOINSERTLISP - insert text from a lisp function"]
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1212 ["AUTOINOUT" (describe-function 'verilog-auto-inout)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1213 :help "Help on AUTOINOUT - adding inouts from cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1214 ["AUTOINPUT" (describe-function 'verilog-auto-input)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1215 :help "Help on AUTOINPUT - adding inputs from cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1216 ["AUTOINST" (describe-function 'verilog-auto-inst)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1217 :help "Help on AUTOINST - adding pins for cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1218 ["AUTOINST (.*)" (describe-function 'verilog-auto-star)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1219 :help "Help on expanding Verilog-2001 .* pins"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1220 ["AUTOINSTPARAM" (describe-function 'verilog-auto-inst-param)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1221 :help "Help on AUTOINSTPARAM - adding parameter pins to cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1222 ["AUTOOUTPUT" (describe-function 'verilog-auto-output)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1223 :help "Help on AUTOOUTPUT - adding outputs from cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1224 ["AUTOOUTPUTEVERY" (describe-function 'verilog-auto-output-every)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1225 :help "Help on AUTOOUTPUTEVERY - adding outputs of all signals"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1226 ["AUTOREG" (describe-function 'verilog-auto-reg)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1227 :help "Help on AUTOREG - declaring registers for non-wires"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1228 ["AUTOREGINPUT" (describe-function 'verilog-auto-reg-input)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1229 :help "Help on AUTOREGINPUT - declaring inputs for non-wires"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1230 ["AUTORESET" (describe-function 'verilog-auto-reset)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1231 :help "Help on AUTORESET - resetting always blocks"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1232 ["AUTOSENSE" (describe-function 'verilog-auto-sense)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1233 :help "Help on AUTOSENSE - sensitivity lists for always blocks"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1234 ["AUTOTIEOFF" (describe-function 'verilog-auto-tieoff)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1235 :help "Help on AUTOTIEOFF - tieing off unused outputs"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1236 ["AUTOUNUSED" (describe-function 'verilog-auto-unused)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1237 :help "Help on AUTOUNUSED - terminating unused inputs"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1238 ["AUTOWIRE" (describe-function 'verilog-auto-wire)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1239 :help "Help on AUTOWIRE - declaring wires for cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1240 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1241 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1242 ["Submit bug report" verilog-submit-bug-report
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1243 :help "Submit via mail a bug report on verilog-mode.el"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1244 ["Version and FAQ" verilog-faq
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1245 :help "Show the current version, and where to get the FAQ etc"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1246 ["Customize Verilog Mode..." verilog-customize
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1247 :help "Customize variables and other settings used by Verilog-Mode"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1248 ["Customize Verilog Fonts & Colors" verilog-font-customize
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1249 :help "Customize fonts used by Verilog-Mode."])))
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1250
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1251 (easy-menu-define
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1252 verilog-stmt-menu verilog-mode-map "Menu for statement templates in Verilog."
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1253 (verilog-easy-menu-filter
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1254 '("Statements"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1255 ["Header" verilog-sk-header
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1256 :help "Insert a header block at the top of file"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1257 ["Comment" verilog-sk-comment
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1258 :help "Insert a comment block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1259 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1260 ["Module" verilog-sk-module
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1261 :help "Insert a module .. (/*AUTOARG*/);.. endmodule block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1262 ["Primitive" verilog-sk-primitive
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1263 :help "Insert a primitive .. (.. );.. endprimitive block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1264 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1265 ["Input" verilog-sk-input
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1266 :help "Insert an input declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1267 ["Output" verilog-sk-output
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1268 :help "Insert an output declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1269 ["Inout" verilog-sk-inout
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1270 :help "Insert an inout declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1271 ["Wire" verilog-sk-wire
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1272 :help "Insert a wire declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1273 ["Reg" verilog-sk-reg
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1274 :help "Insert a register declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1275 ["Define thing under point as a register" verilog-sk-define-signal
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1276 :help "Define signal under point as a register at the top of the module"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1277 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1278 ["Initial" verilog-sk-initial
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1279 :help "Insert an initial begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1280 ["Always" verilog-sk-always
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1281 :help "Insert an always @(AS) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1282 ["Function" verilog-sk-function
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1283 :help "Insert a function .. begin .. end endfunction block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1284 ["Task" verilog-sk-task
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1285 :help "Insert a task .. begin .. end endtask block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1286 ["Specify" verilog-sk-specify
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1287 :help "Insert a specify .. endspecify block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1288 ["Generate" verilog-sk-generate
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1289 :help "Insert a generate .. endgenerate block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1290 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1291 ["Begin" verilog-sk-begin
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1292 :help "Insert a begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1293 ["If" verilog-sk-if
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1294 :help "Insert an if (..) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1295 ["(if) else" verilog-sk-else-if
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1296 :help "Insert an else if (..) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1297 ["For" verilog-sk-for
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1298 :help "Insert a for (...) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1299 ["While" verilog-sk-while
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1300 :help "Insert a while (...) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1301 ["Fork" verilog-sk-fork
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1302 :help "Insert a fork begin .. end .. join block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1303 ["Repeat" verilog-sk-repeat
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1304 :help "Insert a repeat (..) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1305 ["Case" verilog-sk-case
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1306 :help "Insert a case block, prompting for details"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1307 ["Casex" verilog-sk-casex
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1308 :help "Insert a casex (...) item: begin.. end endcase block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1309 ["Casez" verilog-sk-casez
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1310 :help "Insert a casez (...) item: begin.. end endcase block"])))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1311
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1312 (defvar verilog-mode-abbrev-table nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1313 "Abbrev table in use in Verilog-mode buffers.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1314
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1315 (define-abbrev-table 'verilog-mode-abbrev-table ())
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1316
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1317 ;;
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1318 ;; Macros
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1319 ;;
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1320
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1321 (defsubst verilog-string-replace-matches (from-string to-string fixedcase literal string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1322 "Replace occurrences of FROM-STRING with TO-STRING.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1323 FIXEDCASE and LITERAL as in `replace-match`. STRING is what to replace.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1324 The case (verilog-string-replace-matches \"o\" \"oo\" nil nil \"foobar\")
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1325 will break, as the o's continuously replace. xa -> x works ok though."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1326 ;; Hopefully soon to a emacs built-in
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1327 (let ((start 0))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1328 (while (string-match from-string string start)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1329 (setq string (replace-match to-string fixedcase literal string)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1330 start (min (length string) (+ (match-beginning 0) (length to-string)))))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1331 string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1332
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1333 (defsubst verilog-string-remove-spaces (string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1334 "Remove spaces surrounding STRING."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1335 (save-match-data
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1336 (setq string (verilog-string-replace-matches "^\\s-+" "" nil nil string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1337 (setq string (verilog-string-replace-matches "\\s-+$" "" nil nil string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1338 string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1339
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1340 (defsubst verilog-re-search-forward (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1341 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1342 "Like `re-search-forward', but skips over match in comments or strings."
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1343 (let ((mdata '(nil nil))) ;; So match-end will return nil if no matches found
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1344 (while (and
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1345 (re-search-forward REGEXP BOUND NOERROR)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1346 (setq mdata (match-data))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1347 (and (verilog-skip-forward-comment-or-string)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1348 (progn
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1349 (setq mdata '(nil nil))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1350 (if BOUND
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1351 (< (point) BOUND)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1352 t)))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1353 (store-match-data mdata)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1354 (match-end 0)))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1355
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1356 (defsubst verilog-re-search-backward (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1357 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1358 "Like `re-search-backward', but skips over match in comments or strings."
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1359 (let ((mdata '(nil nil))) ;; So match-end will return nil if no matches found
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1360 (while (and
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1361 (re-search-backward REGEXP BOUND NOERROR)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1362 (setq mdata (match-data))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1363 (and (verilog-skip-backward-comment-or-string)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1364 (progn
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1365 (setq mdata '(nil nil))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1366 (if BOUND
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1367 (> (point) BOUND)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1368 t)))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1369 (store-match-data mdata)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1370 (match-end 0)))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1371
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1372 (defsubst verilog-re-search-forward-quick (regexp bound noerror)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1373 "Like `verilog-re-search-forward', including use of REGEXP BOUND and NOERROR,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1374 but trashes match data and is faster for REGEXP that doesn't match often.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1375 This may at some point use text properties to ignore comments,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1376 so there may be a large up front penalty for the first search."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1377 (let (pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1378 (while (and (not pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1379 (re-search-forward regexp bound noerror))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1380 (if (not (verilog-inside-comment-p))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1381 (setq pt (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1382 pt))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1383
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1384 (defsubst verilog-re-search-backward-quick (regexp bound noerror)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1385 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1386 "Like `verilog-re-search-backward', including use of REGEXP BOUND and NOERROR,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1387 but trashes match data and is faster for REGEXP that doesn't match often.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1388 This may at some point use text properties to ignore comments,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1389 so there may be a large up front penalty for the first search."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1390 (let (pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1391 (while (and (not pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1392 (re-search-backward regexp bound noerror))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1393 (if (not (verilog-inside-comment-p))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1394 (setq pt (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1395 pt))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1396
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1397 (defsubst verilog-get-beg-of-line (&optional arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1398 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1399 (beginning-of-line arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1400 (point)))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1401
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1402 (defsubst verilog-get-end-of-line (&optional arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1403 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1404 (end-of-line arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1405 (point)))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1406
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1407 (defsubst verilog-within-string ()
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1408 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1409 (nth 3 (parse-partial-sexp (verilog-get-beg-of-line) (point)))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1410
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1411 (defvar compile-command)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1412
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1413 ;; compilation program
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1414 (defun verilog-set-compile-command ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1415 "Function to compute shell command to compile Verilog.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1416
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1417 This reads `verilog-tool' and sets `compile-command'. This specifies the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1418 program that executes when you type \\[compile] or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1419 \\[verilog-auto-save-compile].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1420
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1421 By default `verilog-tool' uses a Makefile if one exists in the current
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1422 directory. If not, it is set to the `verilog-linter', `verilog-coverage',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1423 `verilog-simulator', or `verilog-compiler' variables, as selected with the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1424 Verilog -> \"Choose Compilation Action\" menu.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1425
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1426 You should set `verilog-tool' or the other variables to the path and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1427 arguments for your Verilog simulator. For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1428 \"vcs -p123 -O\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1429 or a string like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1430 \"(cd /tmp; surecov %s)\".
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1431
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1432 In the former case, the path to the current buffer is concat'ed to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1433 value of `verilog-tool'; in the later, the path to the current buffer is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1434 substituted for the %s.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1435
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1436 Where __FILE__ appears in the string, the `buffer-file-name' of the
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1437 current buffer, without the directory portion, will be substituted."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1438 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1439 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1440 ((or (file-exists-p "makefile") ;If there is a makefile, use it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1441 (file-exists-p "Makefile"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1442 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1443 (setq compile-command "make "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1444 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1445 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1446 (setq compile-command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1447 (if verilog-tool
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1448 (if (string-match "%s" (eval verilog-tool))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1449 (format (eval verilog-tool) (or buffer-file-name ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1450 (concat (eval verilog-tool) " " (or buffer-file-name "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1451 ""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1452 (verilog-modify-compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1453
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1454 (defun verilog-modify-compile-command ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1455 "Replace meta-information in `compile-command'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1456 Where __FILE__ appears in the string, the current buffer's file-name,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1457 without the directory portion, will be substituted."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1458 (when (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1459 (stringp compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1460 (string-match "\\b__FILE__\\b" compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1461 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1462 (setq compile-command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1463 (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1464 "\\b__FILE__\\b" (file-name-nondirectory (buffer-file-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1465 t t compile-command))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1466
103980
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1467 (if (featurep 'xemacs)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1468 ;; Following code only gets called from compilation-mode-hook on XEmacs to add error handling.
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1469 (defun verilog-error-regexp-add-xemacs ()
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1470 "Teach XEmacs about verilog errors.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1471 Called by `compilation-mode-hook'. This allows \\[next-error] to
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1472 find the errors."
103980
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1473 (interactive)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1474 (if (boundp 'compilation-error-regexp-systems-alist)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1475 (if (and
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1476 (not (equal compilation-error-regexp-systems-list 'all))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1477 (not (member compilation-error-regexp-systems-list 'verilog)))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1478 (push 'verilog compilation-error-regexp-systems-list)))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1479 (if (boundp 'compilation-error-regexp-alist-alist)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1480 (if (not (assoc 'verilog compilation-error-regexp-alist-alist))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1481 (setcdr compilation-error-regexp-alist-alist
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1482 (cons verilog-error-regexp-xemacs-alist
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1483 (cdr compilation-error-regexp-alist-alist)))))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1484 (if (boundp 'compilation-font-lock-keywords)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1485 (progn
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1486 (make-local-variable 'compilation-font-lock-keywords)
103980
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1487 (setq compilation-font-lock-keywords verilog-error-font-lock-keywords)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1488 (font-lock-set-defaults)))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1489 ;; Need to re-run compilation-error-regexp builder
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1490 (if (fboundp 'compilation-build-compilation-error-regexp-alist)
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1491 (compilation-build-compilation-error-regexp-alist))
60489d78df5a (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs.
Glenn Morris <rgm@gnu.org>
parents: 103734
diff changeset
1492 ))
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1493
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1494 ;; Following code only gets called from compilation-mode-hook on Emacs to add error handling.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1495 (defun verilog-error-regexp-add-emacs ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1496 "Tell Emacs compile that we are Verilog.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1497 Called by `compilation-mode-hook'. This allows \\[next-error] to
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1498 find the errors."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1499 (interactive)
103734
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1500 (if (boundp 'compilation-error-regexp-alist-alist)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1501 (progn
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1502 (if (not (assoc 'verilog-xl-1 compilation-error-regexp-alist-alist))
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1503 (mapcar
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1504 (lambda (item)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1505 (push (car item) compilation-error-regexp-alist)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1506 (push item compilation-error-regexp-alist-alist)
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1507 )
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1508 verilog-error-regexp-emacs-alist)))))
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1509
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1510 (if (featurep 'xemacs) (add-hook 'compilation-mode-hook 'verilog-error-regexp-add-xemacs))
503d12c87acd (verilog-error-regexp-emacs-alist): Coded custom
Dan Nicolaescu <dann@ics.uci.edu>
parents: 103616
diff changeset
1511 (if (featurep 'emacs) (add-hook 'compilation-mode-hook 'verilog-error-regexp-add-emacs))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1512
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1513 (defconst verilog-directive-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1514 ;; "`case" "`default" "`define" "`define" "`else" "`endfor" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1515 ;; "`endprotect" "`endswitch" "`endwhile" "`for" "`format" "`if" "`ifdef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1516 ;; "`ifndef" "`include" "`let" "`protect" "`switch" "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1517 ;; "`time_scale" "`undef" "`while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1518 "\\<`\\(case\\|def\\(ault\\|ine\\(\\)?\\)\\|e\\(lse\\|nd\\(for\\|if\\|protect\\|switch\\|while\\)\\)\\|for\\(mat\\)?\\|i\\(f\\(def\\|ndef\\)?\\|nclude\\)\\|let\\|protect\\|switch\\|time\\(_scale\\|scale\\)\\|undef\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1519
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1520 (defconst verilog-directive-re-1
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1521 (concat "[ \t]*" verilog-directive-re))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1522
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1523 (defconst verilog-directive-begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1524 "\\<`\\(for\\|i\\(f\\|fdef\\|fndef\\)\\|switch\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1525
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1526 (defconst verilog-directive-middle
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1527 "\\<`\\(else\\|default\\|case\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1528
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1529 (defconst verilog-directive-end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1530 "`\\(endfor\\|endif\\|endswitch\\|endwhile\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1531
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1532 (defconst verilog-ovm-begin-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1533 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1534 (verilog-regexp-opt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1535 '(
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1536 "`ovm_component_utils_begin"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1537 "`ovm_component_param_utils_begin"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1538 "`ovm_field_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1539 "`ovm_object_utils_begin"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1540 "`ovm_object_param_utils_begin"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1541 "`ovm_sequence_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1542 "`ovm_sequencer_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1543 ) nil )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1544
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1545 (defconst verilog-ovm-end-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1546 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1547 (verilog-regexp-opt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1548 '(
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1549 "`ovm_component_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1550 "`ovm_field_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1551 "`ovm_object_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1552 "`ovm_sequence_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1553 "`ovm_sequencer_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1554 ) nil )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1555
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1556 (defconst verilog-vmm-begin-re
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1557 (eval-when-compile
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1558 (verilog-regexp-opt
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1559 '(
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1560 "`vmm_data_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1561 "`vmm_env_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1562 "`vmm_scenario_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1563 "`vmm_subenv_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1564 "`vmm_xactor_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1565 ) nil ) ) )
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1566
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1567 (defconst verilog-vmm-end-re
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1568 (eval-when-compile
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1569 (verilog-regexp-opt
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1570 '(
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1571 "`vmm_data_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1572 "`vmm_env_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1573 "`vmm_scenario_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1574 "`vmm_subenv_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1575 "`vmm_xactor_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1576 ) nil ) ) )
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1577
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1578 (defconst verilog-vmm-statement-re
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1579 (eval-when-compile
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1580 (verilog-regexp-opt
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1581 '(
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1582 ;; "`vmm_xactor_member_enum_array"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1583 "`vmm_\\(data\\|env\\|scenario\\|subenv\\|xactor\\)_member_\\(scalar\\|string\\|enum\\|vmm_data\\|channel\\|xactor\\|subenv\\|user_defined\\)\\(_array\\)?"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1584 ;; "`vmm_xactor_member_scalar_array"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1585 ;; "`vmm_xactor_member_scalar"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1586 ) nil )))
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1587
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1588 (defconst verilog-ovm-statement-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1589 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1590 (verilog-regexp-opt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1591 '(
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1592 ;; Statements
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1593 "`DUT_ERROR"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1594 "`MESSAGE"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1595 "`dut_error"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1596 "`message"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1597 "`ovm_analysis_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1598 "`ovm_blocking_get_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1599 "`ovm_blocking_get_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1600 "`ovm_blocking_master_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1601 "`ovm_blocking_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1602 "`ovm_blocking_put_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1603 "`ovm_blocking_slave_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1604 "`ovm_blocking_transport_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1605 "`ovm_component_registry"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1606 "`ovm_component_registry_param"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1607 "`ovm_component_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1608 "`ovm_create"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1609 "`ovm_create_seq"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1610 "`ovm_declare_sequence_lib"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1611 "`ovm_do"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1612 "`ovm_do_seq"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1613 "`ovm_do_seq_with"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1614 "`ovm_do_with"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1615 "`ovm_error"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1616 "`ovm_fatal"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1617 "`ovm_field_aa_int_byte"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1618 "`ovm_field_aa_int_byte_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1619 "`ovm_field_aa_int_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1620 "`ovm_field_aa_int_int_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1621 "`ovm_field_aa_int_integer"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1622 "`ovm_field_aa_int_integer_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1623 "`ovm_field_aa_int_key"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1624 "`ovm_field_aa_int_longint"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1625 "`ovm_field_aa_int_longint_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1626 "`ovm_field_aa_int_shortint"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1627 "`ovm_field_aa_int_shortint_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1628 "`ovm_field_aa_int_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1629 "`ovm_field_aa_object_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1630 "`ovm_field_aa_object_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1631 "`ovm_field_aa_string_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1632 "`ovm_field_aa_string_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1633 "`ovm_field_array_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1634 "`ovm_field_array_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1635 "`ovm_field_array_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1636 "`ovm_field_enum"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1637 "`ovm_field_event"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1638 "`ovm_field_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1639 "`ovm_field_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1640 "`ovm_field_queue_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1641 "`ovm_field_queue_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1642 "`ovm_field_queue_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1643 "`ovm_field_sarray_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1644 "`ovm_field_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1645 "`ovm_field_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1646 "`ovm_file"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1647 "`ovm_get_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1648 "`ovm_get_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1649 "`ovm_info"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1650 "`ovm_info1"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1651 "`ovm_info2"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1652 "`ovm_info3"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1653 "`ovm_info4"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1654 "`ovm_line"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1655 "`ovm_master_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1656 "`ovm_msg_detail"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1657 "`ovm_non_blocking_transport_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1658 "`ovm_nonblocking_get_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1659 "`ovm_nonblocking_get_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1660 "`ovm_nonblocking_master_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1661 "`ovm_nonblocking_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1662 "`ovm_nonblocking_put_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1663 "`ovm_nonblocking_slave_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1664 "`ovm_object_registry"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1665 "`ovm_object_registry_param"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1666 "`ovm_object_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1667 "`ovm_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1668 "`ovm_phase_func_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1669 "`ovm_phase_task_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1670 "`ovm_print_aa_int_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1671 "`ovm_print_aa_string_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1672 "`ovm_print_aa_string_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1673 "`ovm_print_aa_string_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1674 "`ovm_print_array_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1675 "`ovm_print_array_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1676 "`ovm_print_array_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1677 "`ovm_print_object_queue"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1678 "`ovm_print_queue_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1679 "`ovm_print_string_queue"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1680 "`ovm_put_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1681 "`ovm_rand_send"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1682 "`ovm_rand_send_with"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1683 "`ovm_send"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1684 "`ovm_sequence_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1685 "`ovm_slave_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1686 "`ovm_transport_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1687 "`ovm_update_sequence_lib"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1688 "`ovm_update_sequence_lib_and_item"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1689 "`ovm_warning"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1690 "`static_dut_error"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1691 "`static_message") nil )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1692
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1693
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1694 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1695 ;; Regular expressions used to calculate indent, etc.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1696 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1697 (defconst verilog-symbol-re "\\<[a-zA-Z_][a-zA-Z_0-9.]*\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1698 ;; Want to match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1699 ;; aa :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1700 ;; aa,bb :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1701 ;; a[34:32] :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1702 ;; a,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1703 ;; b :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1704
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1705 (defconst verilog-label-re (concat verilog-symbol-re "\\s-*:\\s-*"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1706 (defconst verilog-no-indent-begin-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1707 "\\<\\(if\\|else\\|while\\|for\\|repeat\\|always\\|always_comb\\|always_ff\\|always_latch\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1708
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1709 (defconst verilog-ends-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1710 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1711 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1712 "\\(\\<else\\>\\)\\|" ; 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1713 "\\(\\<if\\>\\)\\|" ; 2
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1714 "\\(\\<assert\\>\\)\\|" ; 3
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1715 "\\(\\<end\\>\\)\\|" ; 3.1
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1716 "\\(\\<endcase\\>\\)\\|" ; 4
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1717 "\\(\\<endfunction\\>\\)\\|" ; 5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1718 "\\(\\<endtask\\>\\)\\|" ; 6
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1719 "\\(\\<endspecify\\>\\)\\|" ; 7
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1720 "\\(\\<endtable\\>\\)\\|" ; 8
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1721 "\\(\\<endgenerate\\>\\)\\|" ; 9
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1722 "\\(\\<join\\(_any\\|_none\\)?\\>\\)\\|" ; 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1723 "\\(\\<endclass\\>\\)\\|" ; 11
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1724 "\\(\\<endgroup\\>\\)\\|" ; 12
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1725 ;; VMM
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1726 "\\(\\<`vmm_data_member_end\\>\\)\\|"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1727 "\\(\\<`vmm_env_member_end\\>\\)\\|"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1728 "\\(\\<`vmm_scenario_member_end\\>\\)\\|"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1729 "\\(\\<`vmm_subenv_member_end\\>\\)\\|"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1730 "\\(\\<`vmm_xactor_member_end\\>\\)\\|"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1731 ;; OVM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1732 "\\(\\<`ovm_component_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1733 "\\(\\<`ovm_field_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1734 "\\(\\<`ovm_object_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1735 "\\(\\<`ovm_sequence_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1736 "\\(\\<`ovm_sequencer_utils_end\\>\\)"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1737
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1738 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1739
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1740 (defconst verilog-auto-end-comment-lines-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1741 ;; Matches to names in this list cause auto-end-commentation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1742 (concat "\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1743 verilog-directive-re "\\)\\|\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1744 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1745 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1746 `( "begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1747 "else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1748 "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1749 "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1750 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1751 "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1752 "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1753 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1754 "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1755 "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1756 "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1757 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1758 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1759 "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1760 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1761 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1762 "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1763 "join"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1764 "join_any"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1765 "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1766 "module"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1767 "macromodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1768 "primitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1769 "interface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1770 "package")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1771 "\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1772
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1773 ;;; NOTE: verilog-leap-to-head expects that verilog-end-block-re and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1774 ;;; verilog-end-block-ordered-re matches exactly the same strings.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1775 (defconst verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1776 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1777 (concat "\\(\\<endcase\\>\\)\\|" ; 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1778 "\\(\\<end\\>\\)\\|" ; 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1779 "\\(\\<end" ; 3, but not used
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1780 "\\(" ; 4, but not used
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1781 "\\(function\\)\\|" ; 5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1782 "\\(task\\)\\|" ; 6
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1783 "\\(module\\)\\|" ; 7
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1784 "\\(primitive\\)\\|" ; 8
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1785 "\\(interface\\)\\|" ; 9
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1786 "\\(package\\)\\|" ; 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1787 "\\(class\\)\\|" ; 11
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1788 "\\(group\\)\\|" ; 12
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1789 "\\(program\\)\\|" ; 13
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1790 "\\(sequence\\)\\|" ; 14
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1791 "\\(clocking\\)\\|" ; 15
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1792 "\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1793 (defconst verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1794 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1795 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1796
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1797 `("end" ;; closes begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1798 "endcase" ;; closes any of case, casex casez or randcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1799 "join" "join_any" "join_none" ;; closes fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1800 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1801 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1802 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1803 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1804 "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1805 "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1806 "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1807 "endproperty"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1808 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1809 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1810 "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1811 "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1812 "endclocking"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1813 ;; OVM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1814 "`ovm_component_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1815 "`ovm_field_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1816 "`ovm_object_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1817 "`ovm_sequence_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1818 "`ovm_sequencer_utils_end"
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1819 ;; VMM
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1820 "`vmm_data_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1821 "`vmm_env_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1822 "`vmm_scenario_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1823 "`vmm_subenv_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1824 "`vmm_xactor_member_end"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1825 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1826
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1827
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1828 (defconst verilog-endcomment-reason-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1829 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1830 (concat
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1831 "\\(\\<begin\\>\\)\\|" ; 1
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1832 "\\(\\<else\\>\\)\\|" ; 2
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1833 "\\(\\<end\\>\\s-+\\<else\\>\\)\\|" ; 3
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1834 "\\(\\<always_comb\\>\\(\[ \t\]*@\\)?\\)\\|" ; 4
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1835 "\\(\\<always_ff\\>\\(\[ \t\]*@\\)?\\)\\|" ; 5
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1836 "\\(\\<always_latch\\>\\(\[ \t\]*@\\)?\\)\\|" ; 6
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1837 "\\(\\<fork\\>\\)\\|" ; 7
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1838 "\\(\\<always\\>\\(\[ \t\]*@\\)?\\)\\|"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1839 "\\(\\<if\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1840 "\\(\\<clocking\\>\\)\\|"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1841 "\\(\\<task\\>\\)\\|"
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1842 "\\(\\<function\\>\\)\\|"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1843 "\\(\\<initial\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1844 "\\(\\<interface\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1845 "\\(\\<package\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1846 "\\(\\<final\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1847 "\\(@\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1848 "\\(\\<while\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1849 "\\(\\<for\\(ever\\|each\\)?\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1850 "\\(\\<repeat\\>\\)\\|\\(\\<wait\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1851 "#"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1852
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1853 (defconst verilog-named-block-re "begin[ \t]*:")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1854
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1855 ;; These words begin a block which can occur inside a module which should be indented,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1856 ;; and closed with the respective word from the end-block list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1857
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1858 (defconst verilog-beg-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1859 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1860 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1861 `("begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1862 "case" "casex" "casez" "randcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1863 "clocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1864 "generate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1865 "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1866 "function"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1867 "property"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1868 "specify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1869 "table"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1870 "task"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1871 ;;; OVM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1872 "`ovm_component_utils_begin"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1873 "`ovm_component_param_utils_begin"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1874 "`ovm_field_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1875 "`ovm_object_utils_begin"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
1876 "`ovm_object_param_utils_begin"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1877 "`ovm_sequence_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1878 "`ovm_sequencer_utils_begin"
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1879 ;; VMM
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1880 "`vmm_data_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1881 "`vmm_env_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1882 "`vmm_scenario_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1883 "`vmm_subenv_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1884 "`vmm_xactor_member_begin"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1885 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1886 ;; These are the same words, in a specific order in the regular
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1887 ;; expression so that matching will work nicely for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1888 ;; verilog-forward-sexp and verilog-calc-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1889 (defconst verilog-beg-block-re-ordered
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1890 ( concat "\\(\\<begin\\>\\)" ;1
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1891 "\\|\\(\\<randcase\\>\\|\\(\\<unique\\s-+\\|priority\\s-+\\)?case[xz]?\\>\\)" ; 2,3
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1892 "\\|\\(\\(\\<disable\\>\\s-+\\)?fork\\>\\)" ;4,5
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1893 "\\|\\(\\<class\\>\\)" ;6
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1894 "\\|\\(\\<table\\>\\)" ;7
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1895 "\\|\\(\\<specify\\>\\)" ;8
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1896 "\\|\\(\\<function\\>\\)" ;9
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1897 "\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)*\\<function\\>\\)" ;10
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1898 "\\|\\(\\<task\\>\\)" ;14
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1899 "\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)*\\<task\\>\\)" ;15
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1900 "\\|\\(\\<generate\\>\\)" ;18
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1901 "\\|\\(\\<covergroup\\>\\)" ;16 20
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1902 "\\|\\(\\(\\(\\<cover\\>\\s-+\\)\\|\\(\\<assert\\>\\s-+\\)\\)*\\<property\\>\\)" ;17 21
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1903 "\\|\\(\\<\\(rand\\)?sequence\\>\\)" ;21 25
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1904 "\\|\\(\\<clocking\\>\\)" ;22 27
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1905 "\\|\\(\\<`ovm_[a-z_]+_begin\\>\\)" ;28
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1906 "\\|\\(\\<`vmm_[a-z_]+_member_begin\\>\\)"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1907 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1908
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1909 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1910
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1911 (defconst verilog-end-block-ordered-rry
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1912 [ "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<endcase\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1913 "\\(\\<randcase\\>\\|\\<case[xz]?\\>\\)\\|\\(\\<endcase\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1914 "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1915 "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1916 "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1917 "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1918 "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1919 "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1920 "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1921 "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1922 "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1923 "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1924 "\\(\\<clocking\\>\\)\\|\\(\\<endclocking\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1925 ] )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1926
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1927 (defconst verilog-nameable-item-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1928 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1929 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1930 `("begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1931 "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1932 "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1933 "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1934 "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1935 "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1936 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1937 "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1938 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1939 "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1940 "endmodule"
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
1941 "endprimitive"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1942 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1943 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1944 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1945 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1946 "endtask" )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1947 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1948
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1949 (defconst verilog-declaration-opener
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1950 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1951 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1952 `("module" "begin" "task" "function"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1953
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1954 (defconst verilog-declaration-prefix-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1955 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1956 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1957 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1958 ;; port direction
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1959 "inout" "input" "output" "ref"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1960 ;; changeableness
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1961 "const" "static" "protected" "local"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1962 ;; parameters
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1963 "localparam" "parameter" "var"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1964 ;; type creation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1965 "typedef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1966 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1967 (defconst verilog-declaration-core-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1968 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1969 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1970 `(
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
1971 ;; port direction (by themselves)
80141
00b853b0f933 (customize): Fix typo in error message.
Juanma Barranquero <lekktu@gmail.com>
parents: 80024
diff changeset
1972 "inout" "input" "output"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1973 ;; integer_atom_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1974 "byte" "shortint" "int" "longint" "integer" "time"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1975 ;; integer_vector_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1976 "bit" "logic" "reg"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1977 ;; non_integer_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1978 "shortreal" "real" "realtime"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1979 ;; net_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1980 "supply0" "supply1" "tri" "triand" "trior" "trireg" "tri0" "tri1" "uwire" "wire" "wand" "wor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1981 ;; misc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1982 "string" "event" "chandle" "virtual" "enum" "genvar"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1983 "struct" "union"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1984 ;; builtin classes
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1985 "mailbox" "semaphore"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1986 ))))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1987 (defconst verilog-declaration-re
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1988 (concat "\\(" verilog-declaration-prefix-re "\\s-*\\)?" verilog-declaration-core-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1989 (defconst verilog-range-re "\\(\\[[^]]*\\]\\s-*\\)+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1990 (defconst verilog-optional-signed-re "\\s-*\\(signed\\)?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1991 (defconst verilog-optional-signed-range-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1992 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1993 "\\s-*\\(\\<\\(reg\\|wire\\)\\>\\s-*\\)?\\(\\<signed\\>\\s-*\\)?\\(" verilog-range-re "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1994 (defconst verilog-macroexp-re "`\\sw+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1995
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1996 (defconst verilog-delay-re "#\\s-*\\(\\([0-9_]+\\('s?[hdxbo][0-9a-fA-F_xz]+\\)?\\)\\|\\(([^()]*)\\)\\|\\(\\sw+\\)\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1997 (defconst verilog-declaration-re-2-no-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1998 (concat "\\s-*" verilog-declaration-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1999 "\\s-*\\(\\(" verilog-optional-signed-range-re "\\)\\|\\(" verilog-delay-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2000 "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2001 (defconst verilog-declaration-re-2-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2002 (concat "\\s-*" verilog-declaration-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2003 "\\s-*\\(\\(" verilog-optional-signed-range-re "\\)\\|\\(" verilog-delay-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2004 "\\|\\(" verilog-macroexp-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2005 "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2006 (defconst verilog-declaration-re-1-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2007 (concat "^" verilog-declaration-re-2-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2008
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2009 (defconst verilog-declaration-re-1-no-macro (concat "^" verilog-declaration-re-2-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2010
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2011 (defconst verilog-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2012 (eval-when-compile (verilog-regexp-words `("macromodule" "module" "class" "program" "interface" "package" "primitive" "config"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2013 (defconst verilog-end-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2014 (eval-when-compile (verilog-regexp-words `("endmodule" "endclass" "endprogram" "endinterface" "endpackage" "endprimitive" "endconfig"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2015 (defconst verilog-zero-indent-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2016 (concat verilog-defun-re "\\|" verilog-end-defun-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2017
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2018 (defconst verilog-behavioral-block-beg-re
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2019 (eval-when-compile (verilog-regexp-words `("initial" "final" "always" "always_comb" "always_latch" "always_ff"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2020 "function" "task"))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2021 (defconst verilog-coverpoint-re "\\w+\\s*:\\s*\\(coverpoint\\|cross\\constraint\\)" )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2022 (defconst verilog-indent-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2023 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2024 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2025 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2026 "{"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2027 "always" "always_latch" "always_ff" "always_comb"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2028 "begin" "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2029 ; "unique" "priority"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2030 "case" "casex" "casez" "randcase" "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2031 "class" "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2032 "clocking" "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2033 "config" "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2034 "covergroup" "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2035 "fork" "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2036 "function" "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2037 "final"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2038 "generate" "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2039 "initial"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2040 "interface" "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2041 "module" "macromodule" "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2042 "package" "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2043 "primitive" "endprimative"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2044 "program" "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2045 "property" "endproperty"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2046 "sequence" "randsequence" "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2047 "specify" "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2048 "table" "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2049 "task" "endtask"
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2050 "virtual"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2051 "`case"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2052 "`default"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2053 "`define" "`undef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2054 "`if" "`ifdef" "`ifndef" "`else" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2055 "`while" "`endwhile"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2056 "`for" "`endfor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2057 "`format"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2058 "`include"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2059 "`let"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2060 "`protect" "`endprotect"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2061 "`switch" "`endswitch"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2062 "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2063 "`time_scale"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2064 ;; OVM Begin tokens
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2065 "`ovm_component_utils_begin"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
2066 "`ovm_component_param_utils_begin"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2067 "`ovm_field_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2068 "`ovm_object_utils_begin"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
2069 "`ovm_object_param_utils_begin"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2070 "`ovm_sequence_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2071 "`ovm_sequencer_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2072 ;; OVM End tokens
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2073 "`ovm_component_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2074 "`ovm_field_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2075 "`ovm_object_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2076 "`ovm_sequence_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2077 "`ovm_sequencer_utils_end"
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2078 ;; VMM Begin tokens
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2079 "`vmm_data_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2080 "`vmm_env_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2081 "`vmm_scenario_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2082 "`vmm_subenv_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2083 "`vmm_xactor_member_begin"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2084 ;; VMM End tokens
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2085 "`vmm_data_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2086 "`vmm_env_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2087 "`vmm_scenario_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2088 "`vmm_subenv_member_end"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
2089 "`vmm_xactor_member_end"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2090 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2091
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2092 (defconst verilog-defun-level-not-generate-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2093 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2094 (verilog-regexp-words
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2095 `( "module" "macromodule" "primitive" "class" "program"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2096 "interface" "package" "config"))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2097
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2098 (defconst verilog-defun-level-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2099 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2100 (verilog-regexp-words
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2101 (append
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2102 `( "module" "macromodule" "primitive" "class" "program"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2103 "interface" "package" "config")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2104 `( "initial" "final" "always" "always_comb" "always_ff"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2105 "always_latch" "endtask" "endfunction" )))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2106
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2107 (defconst verilog-defun-level-generate-only-re
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2108 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2109 (verilog-regexp-words
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2110 `( "initial" "final" "always" "always_comb" "always_ff"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2111 "always_latch" "endtask" "endfunction" ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2112
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2113 (defconst verilog-cpp-level-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2114 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2115 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2116 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2117 "endmodule" "endprimitive" "endinterface" "endpackage" "endprogram" "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2118 ))))
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2119 (defconst verilog-disable-fork-re "disable\\s-+fork\\>")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2120 (defconst verilog-fork-wait-re "fork\\s-+wait\\>")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2121 (defconst verilog-extended-case-re "\\(unique\\s-+\\|priority\\s-+\\)?case[xz]?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2122 (defconst verilog-extended-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2123 (concat "\\(\\<extern\\s-+\\|\\<virtual\\s-+\\|\\<protected\\s-+\\)*\\(\\<function\\>\\|\\<task\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2124 "\\|\\(\\<typedef\\>\\s-+\\)*\\(\\<struct\\>\\|\\<union\\>\\|\\<class\\>\\)"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2125 "\\|\\(\\<import\\>\\s-+\\)?\"DPI-C\"\\s-+\\(function\\>\\|task\\>\\)"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2126 "\\|" verilog-extended-case-re ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2127 (defconst verilog-basic-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2128 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2129 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2130 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2131 "always" "assign" "always_latch" "always_ff" "always_comb" "constraint"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2132 "import" "initial" "final" "module" "macromodule" "repeat" "randcase" "while"
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
2133 "if" "for" "forever" "foreach" "else" "parameter" "do" "localparam" "assert"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2134 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2135 (defconst verilog-complete-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2136 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2137 verilog-extended-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2138 "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2139 verilog-basic-complete-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2140
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2141 (defconst verilog-end-statement-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2142 (concat "\\(" verilog-beg-block-re "\\)\\|\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2143 verilog-end-block-re "\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2144
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2145 (defconst verilog-endcase-re
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2146 (concat verilog-extended-case-re "\\|"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2147 "\\(endcase\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2148 verilog-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2149 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2150
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2151 (defconst verilog-exclude-str-start "/* -----\\/----- EXCLUDED -----\\/-----"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2152 "String used to mark beginning of excluded text.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2153 (defconst verilog-exclude-str-end " -----/\\----- EXCLUDED -----/\\----- */"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2154 "String used to mark end of excluded text.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2155 (defconst verilog-preprocessor-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2156 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2157 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2158 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2159 "`define" "`include" "`ifdef" "`ifndef" "`if" "`endif" "`else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2160 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2161
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2162 (defconst verilog-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2163 '( "`case" "`default" "`define" "`else" "`endfor" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2164 "`endprotect" "`endswitch" "`endwhile" "`for" "`format" "`if" "`ifdef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2165 "`ifndef" "`include" "`let" "`protect" "`switch" "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2166 "`time_scale" "`undef" "`while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2167
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2168 "after" "alias" "always" "always_comb" "always_ff" "always_latch" "and"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2169 "assert" "assign" "assume" "automatic" "before" "begin" "bind"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2170 "bins" "binsof" "bit" "break" "buf" "bufif0" "bufif1" "byte"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2171 "case" "casex" "casez" "cell" "chandle" "class" "clocking" "cmos"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2172 "config" "const" "constraint" "context" "continue" "cover"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2173 "covergroup" "coverpoint" "cross" "deassign" "default" "defparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2174 "design" "disable" "dist" "do" "edge" "else" "end" "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2175 "endclass" "endclocking" "endconfig" "endfunction" "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2176 "endgroup" "endinterface" "endmodule" "endpackage" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2177 "endprogram" "endproperty" "endspecify" "endsequence" "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2178 "endtask" "enum" "event" "expect" "export" "extends" "extern"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2179 "final" "first_match" "for" "force" "foreach" "forever" "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2180 "forkjoin" "function" "generate" "genvar" "highz0" "highz1" "if"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2181 "iff" "ifnone" "ignore_bins" "illegal_bins" "import" "incdir"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2182 "include" "initial" "inout" "input" "inside" "instance" "int"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2183 "integer" "interface" "intersect" "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2184 "large" "liblist" "library" "local" "localparam" "logic"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2185 "longint" "macromodule" "mailbox" "matches" "medium" "modport" "module"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2186 "nand" "negedge" "new" "nmos" "nor" "noshowcancelled" "not"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2187 "notif0" "notif1" "null" "or" "output" "package" "packed"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2188 "parameter" "pmos" "posedge" "primitive" "priority" "program"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2189 "property" "protected" "pull0" "pull1" "pulldown" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2190 "pulsestyle_onevent" "pulsestyle_ondetect" "pure" "rand" "randc"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2191 "randcase" "randsequence" "rcmos" "real" "realtime" "ref" "reg"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2192 "release" "repeat" "return" "rnmos" "rpmos" "rtran" "rtranif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2193 "rtranif1" "scalared" "semaphore" "sequence" "shortint" "shortreal"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2194 "showcancelled" "signed" "small" "solve" "specify" "specparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2195 "static" "string" "strong0" "strong1" "struct" "super" "supply0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2196 "supply1" "table" "tagged" "task" "this" "throughout" "time"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2197 "timeprecision" "timeunit" "tran" "tranif0" "tranif1" "tri"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2198 "tri0" "tri1" "triand" "trior" "trireg" "type" "typedef" "union"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2199 "unique" "unsigned" "use" "uwire" "var" "vectored" "virtual" "void"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2200 "wait" "wait_order" "wand" "weak0" "weak1" "while" "wildcard"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2201 "wire" "with" "within" "wor" "xnor" "xor"
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2202 ;; 1800-2009
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2203 "accept_on" "checker" "endchecker" "eventually" "global" "implies"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2204 "let" "nexttime" "reject_on" "restrict" "s_always" "s_eventually"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2205 "s_nexttime" "s_until" "s_until_with" "strong" "sync_accept_on"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2206 "sync_reject_on" "unique0" "until" "until_with" "untyped" "weak"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2207 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2208 "List of Verilog keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2209
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2210 (defconst verilog-comment-start-regexp "//\\|/\\*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2211 "Dual comment value for `comment-start-regexp'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2212
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2213 (defvar verilog-mode-syntax-table
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2214 (let ((table (make-syntax-table)))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2215 ;; Populate the syntax TABLE.
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2216 (modify-syntax-entry ?\\ "\\" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2217 (modify-syntax-entry ?+ "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2218 (modify-syntax-entry ?- "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2219 (modify-syntax-entry ?= "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2220 (modify-syntax-entry ?% "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2221 (modify-syntax-entry ?< "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2222 (modify-syntax-entry ?> "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2223 (modify-syntax-entry ?& "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2224 (modify-syntax-entry ?| "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2225 (modify-syntax-entry ?` "w" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2226 (modify-syntax-entry ?_ "w" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2227 (modify-syntax-entry ?\' "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2228
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2229 ;; Set up TABLE to handle block and line style comments.
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2230 (if (featurep 'xemacs)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2231 (progn
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2232 ;; XEmacs (formerly Lucid) has the best implementation
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2233 (modify-syntax-entry ?/ ". 1456" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2234 (modify-syntax-entry ?* ". 23" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2235 (modify-syntax-entry ?\n "> b" table))
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2236 ;; Emacs does things differently, but we can work with it
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2237 (modify-syntax-entry ?/ ". 124b" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2238 (modify-syntax-entry ?* ". 23" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2239 (modify-syntax-entry ?\n "> b" table))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2240 table)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2241 "Syntax table used in Verilog mode buffers.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2242
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2243 (defvar verilog-font-lock-keywords nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2244 "Default highlighting for Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2245
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2246 (defvar verilog-font-lock-keywords-1 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2247 "Subdued level highlighting for Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2248
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2249 (defvar verilog-font-lock-keywords-2 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2250 "Medium level highlighting for Verilog mode.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2251 See also `verilog-font-lock-extra-types'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2252
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2253 (defvar verilog-font-lock-keywords-3 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2254 "Gaudy level highlighting for Verilog mode.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2255 See also `verilog-font-lock-extra-types'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2256 (defvar verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2257 'verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2258 "Font to use for translated off regions.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2259 (defface verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2260 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2261 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2262 (:background "gray90" :italic t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2263 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2264 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2265 (:background "gray10" :italic t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2266 (((class grayscale) (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2267 (:foreground "DimGray" :italic t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2268 (((class grayscale) (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2269 (:foreground "LightGray" :italic t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2270 (t (:italis t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2271 "Font lock mode face used to background highlight translate-off regions."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2272 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2273
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2274 (defvar verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2275 'verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2276 "Font to use for p1800 keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2277 (defface verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2278 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2279 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2280 (:foreground "DarkOrange3" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2281 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2282 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2283 (:foreground "orange1" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2284 (t (:italic t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2285 "Font lock mode face used to highlight P1800 keywords."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2286 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2287
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2288 (defvar verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2289 'verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2290 "Font to use for Analog/Mixed Signal keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2291 (defface verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2292 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2293 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2294 (:foreground "Purple" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2295 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2296 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2297 (:foreground "orange1" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2298 (t (:italic t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2299 "Font lock mode face used to highlight AMS keywords."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2300 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2301
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2302 (defvar verilog-font-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2303 'verilog-font-lock-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2304 "Font to use for Verilog Grouping Keywords (such as begin..end).")
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2305 (defface verilog-font-lock-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2306 '((((class color)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2307 (background light))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2308 (:foreground "red4" :bold t ))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2309 (((class color)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2310 (background dark))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2311 (:foreground "red4" :bold t ))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2312 (t (:italic t)))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2313 "Font lock mode face used to highlight verilog grouping keywords."
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2314 :group 'font-lock-highlighting-faces)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2315
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2316 (let* ((verilog-type-font-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2317 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2318 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2319 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2320 "and" "bit" "buf" "bufif0" "bufif1" "cmos" "defparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2321 "event" "genvar" "inout" "input" "integer" "localparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2322 "logic" "mailbox" "nand" "nmos" "not" "notif0" "notif1" "or"
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2323 "output" "parameter" "pmos" "pull0" "pull1" "pulldown" "pullup"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2324 "rcmos" "real" "realtime" "reg" "rnmos" "rpmos" "rtran"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2325 "rtranif0" "rtranif1" "semaphore" "signed" "struct" "supply"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2326 "supply0" "supply1" "time" "tran" "tranif0" "tranif1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2327 "tri" "tri0" "tri1" "triand" "trior" "trireg" "typedef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2328 "uwire" "vectored" "wand" "wire" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2329 ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2330
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2331 (verilog-pragma-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2332 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2333 (verilog-regexp-opt
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2334 '("surefire" "synopsys" "rtl_synthesis" "verilint" "leda" "0in") nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2335 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2336
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2337 (verilog-1800-2005-keywords
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2338 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2339 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2340 '("alias" "assert" "assume" "automatic" "before" "bind"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2341 "bins" "binsof" "break" "byte" "cell" "chandle" "class"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2342 "clocking" "config" "const" "constraint" "context" "continue"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2343 "cover" "covergroup" "coverpoint" "cross" "deassign" "design"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2344 "dist" "do" "edge" "endclass" "endclocking" "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2345 "endgroup" "endprogram" "endproperty" "endsequence" "enum"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2346 "expect" "export" "extends" "extern" "first_match" "foreach"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2347 "forkjoin" "genvar" "highz0" "highz1" "ifnone" "ignore_bins"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2348 "illegal_bins" "import" "incdir" "include" "inside" "instance"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2349 "int" "intersect" "large" "liblist" "library" "local" "longint"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2350 "matches" "medium" "modport" "new" "noshowcancelled" "null"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2351 "packed" "program" "property" "protected" "pull0" "pull1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2352 "pulsestyle_onevent" "pulsestyle_ondetect" "pure" "rand" "randc"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2353 "randcase" "randsequence" "ref" "release" "return" "scalared"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2354 "sequence" "shortint" "shortreal" "showcancelled" "small" "solve"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2355 "specparam" "static" "string" "strong0" "strong1" "struct"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2356 "super" "tagged" "this" "throughout" "timeprecision" "timeunit"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2357 "type" "union" "unsigned" "use" "var" "virtual" "void"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2358 "wait_order" "weak0" "weak1" "wildcard" "with" "within"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2359 ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2360
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2361 (verilog-1800-2009-keywords
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2362 (eval-when-compile
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2363 (verilog-regexp-opt
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2364 '("accept_on" "checker" "endchecker" "eventually" "global"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2365 "implies" "let" "nexttime" "reject_on" "restrict" "s_always"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2366 "s_eventually" "s_nexttime" "s_until" "s_until_with" "strong"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2367 "sync_accept_on" "sync_reject_on" "unique0" "until"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2368 "until_with" "untyped" "weak" ) nil )))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2369
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2370 (verilog-ams-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2371 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2372 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2373 '("above" "abs" "absdelay" "acos" "acosh" "ac_stim"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2374 "aliasparam" "analog" "analysis" "asin" "asinh" "atan" "atan2" "atanh"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2375 "branch" "ceil" "connectmodule" "connectrules" "cos" "cosh" "ddt"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2376 "ddx" "discipline" "driver_update" "enddiscipline" "endconnectrules"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2377 "endnature" "endparamset" "exclude" "exp" "final_step" "flicker_noise"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2378 "floor" "flow" "from" "ground" "hypot" "idt" "idtmod" "inf"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2379 "initial_step" "laplace_nd" "laplace_np" "laplace_zd" "laplace_zp"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2380 "last_crossing" "limexp" "ln" "log" "max" "min" "nature"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2381 "net_resolution" "noise_table" "paramset" "potential" "pow" "sin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2382 "sinh" "slew" "sqrt" "tan" "tanh" "timer" "transition" "white_noise"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2383 "wreal" "zi_nd" "zi_np" "zi_zd" ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2384
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2385 (verilog-font-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2386 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2387 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2388 '(
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2389 "assign" "case" "casex" "casez" "randcase" "deassign"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2390 "default" "disable" "else" "endcase" "endfunction"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2391 "endgenerate" "endinterface" "endmodule" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2392 "endspecify" "endtable" "endtask" "final" "for" "force" "return" "break"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2393 "continue" "forever" "fork" "function" "generate" "if" "iff" "initial"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2394 "interface" "join" "join_any" "join_none" "macromodule" "module" "negedge"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2395 "package" "endpackage" "always" "always_comb" "always_ff"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2396 "always_latch" "posedge" "primitive" "priority" "release"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2397 "repeat" "specify" "table" "task" "unique" "wait" "while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2398 "class" "program" "endclass" "endprogram"
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2399 ) nil )))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2400
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2401 (verilog-font-grouping-keywords
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2402 (eval-when-compile
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2403 (verilog-regexp-opt
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2404 '( "begin" "end" ) nil ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2405
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2406 (setq verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2407 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2408 ;; Fontify all builtin keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2409 (concat "\\<\\(" verilog-font-keywords "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2410 ;; And user/system tasks and functions
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2411 "\\$[a-zA-Z][a-zA-Z0-9_\\$]*"
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2412 "\\)\\>")
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2413 ;; Fontify all types
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2414 (if verilog-highlight-grouping-keywords
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2415 (cons (concat "\\<\\(" verilog-font-grouping-keywords "\\)\\>")
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2416 'verilog-font-lock-ams-face)
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2417 (cons (concat "\\<\\(" verilog-font-grouping-keywords "\\)\\>")
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2418 'font-lock-type-face))
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2419 (cons (concat "\\<\\(" verilog-type-font-keywords "\\)\\>")
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2420 'font-lock-type-face)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2421 ;; Fontify IEEE-1800-2005 keywords appropriately
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2422 (if verilog-highlight-p1800-keywords
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2423 (cons (concat "\\<\\(" verilog-1800-2005-keywords "\\)\\>")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2424 'verilog-font-lock-p1800-face)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2425 (cons (concat "\\<\\(" verilog-1800-2005-keywords "\\)\\>")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2426 'font-lock-type-face))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2427 ;; Fontify IEEE-1800-2009 keywords appropriately
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2428 (if verilog-highlight-p1800-keywords
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2429 (cons (concat "\\<\\(" verilog-1800-2009-keywords "\\)\\>")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2430 'verilog-font-lock-p1800-face)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2431 (cons (concat "\\<\\(" verilog-1800-2009-keywords "\\)\\>")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2432 'font-lock-type-face))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2433 ;; Fontify Verilog-AMS keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2434 (cons (concat "\\<\\(" verilog-ams-keywords "\\)\\>")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2435 'verilog-font-lock-ams-face)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2436
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2437 (setq verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2438 (append verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2439 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2440 ;; Fontify module definitions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2441 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2442 "\\<\\(\\(macro\\)?module\\|primitive\\|class\\|program\\|interface\\|package\\|task\\)\\>\\s-*\\(\\sw+\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2443 '(1 font-lock-keyword-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2444 '(3 font-lock-function-name-face 'prepend))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2445 ;; Fontify function definitions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2446 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2447 (concat "\\<function\\>\\s-+\\(integer\\|real\\(time\\)?\\|time\\)\\s-+\\(\\sw+\\)" )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2448 '(1 font-lock-keyword-face)
108804
9b941507a02c Let htmlfontify recognize face aliases (Bug#6279).
Chong Yidong <cyd@stupidchicken.com>
parents: 108765
diff changeset
2449 '(3 font-lock-constant-face prepend))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2450 '("\\<function\\>\\s-+\\(\\[[^]]+\\]\\)\\s-+\\(\\sw+\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2451 (1 font-lock-keyword-face)
108804
9b941507a02c Let htmlfontify recognize face aliases (Bug#6279).
Chong Yidong <cyd@stupidchicken.com>
parents: 108765
diff changeset
2452 (2 font-lock-constant-face append))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2453 '("\\<function\\>\\s-+\\(\\sw+\\)"
108804
9b941507a02c Let htmlfontify recognize face aliases (Bug#6279).
Chong Yidong <cyd@stupidchicken.com>
parents: 108765
diff changeset
2454 1 'font-lock-constant-face append))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2455
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2456 (setq verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2457 (append verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2458 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2459 ;; Fontify pragmas
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2460 (concat "\\(//\\s-*" verilog-pragma-keywords "\\s-.*\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2461 ;; Fontify escaped names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2462 '("\\(\\\\\\S-*\\s-\\)" 0 font-lock-function-name-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2463 ;; Fontify macro definitions/ uses
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2464 '("`\\s-*[A-Za-z][A-Za-z0-9_]*" 0 (if (boundp 'font-lock-preprocessor-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2465 'font-lock-preprocessor-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2466 'font-lock-type-face))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2467 ;; Fontify delays/numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2468 '("\\(@\\)\\|\\(#\\s-*\\(\\(\[0-9_.\]+\\('s?[hdxbo][0-9a-fA-F_xz]*\\)?\\)\\|\\(([^()]+)\\|\\sw+\\)\\)\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2469 0 font-lock-type-face append)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2470 ;; Fontify instantiation names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2471 '("\\([A-Za-z][A-Za-z0-9_]+\\)\\s-*(" 1 font-lock-function-name-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2472 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2474 (setq verilog-font-lock-keywords-3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2475 (append verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2476 (when verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2477 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2478 ;; Fontify things in translate off regions
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2479 '(verilog-match-translate-off
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2480 (0 'verilog-font-lock-translate-off-face prepend))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2481 )))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2482
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2483
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2484 (defun verilog-inside-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2485 "Check if point inside a nested comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2486 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2487 (let ((st-point (point)) hitbeg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2488 (or (search-backward "//" (verilog-get-beg-of-line) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2489 (if (progn
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2490 ;; This is for tricky case //*, we keep searching if /*
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2491 ;; is proceeded by // on same line.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2492 (while
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2493 (and (setq hitbeg (search-backward "/*" nil t))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2494 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2495 (forward-char 1)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2496 (search-backward "//" (verilog-get-beg-of-line) t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2497 hitbeg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2498 (not (search-forward "*/" st-point t)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2499
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2500 (defun verilog-declaration-end ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2501 (search-forward ";"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2502
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2503 (defun verilog-point-text (&optional pointnum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2504 "Return text describing where POINTNUM or current point is (for errors).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2505 Use filename, if current buffer being edited shorten to just buffer name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2506 (concat (or (and (equal (window-buffer (selected-window)) (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2507 (buffer-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2508 buffer-file-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2509 (buffer-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2510 ":" (int-to-string (count-lines (point-min) (or pointnum (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2511
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2512 (defun electric-verilog-backward-sexp ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2513 "Move backward over one balanced expression."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2514 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2515 ;; before that see if we are in a comment
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2516 (verilog-backward-sexp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2517
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2518 (defun electric-verilog-forward-sexp ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2519 "Move forward over one balanced expression."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2520 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2521 ;; before that see if we are in a comment
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2522 (verilog-forward-sexp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2523
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2524 ;;;used by hs-minor-mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2525 (defun verilog-forward-sexp-function (arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2526 (if (< arg 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2527 (verilog-backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2528 (verilog-forward-sexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2529
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2530
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2531 (defun verilog-backward-sexp ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2532 (let ((reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2533 (elsec 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2534 (found nil)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2535 (st (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2536 (if (not (looking-at "\\<"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2537 (forward-word -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2538 (cond
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2539 ((verilog-skip-backward-comment-or-string))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2540 ((looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2541 (setq reg (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2542 verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2543 "\\|\\(\\<else\\>\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2544 "\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2545 (while (and (not found)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2546 (verilog-re-search-backward reg nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2547 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2548 ((match-end 1) ; matched verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2549 ; try to leap back to matching outward block by striding across
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2550 ; indent level changing tokens then immediately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2551 ; previous line governs indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2552 (verilog-leap-to-head))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2553 ((match-end 2) ; else, we're in deep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2554 (setq elsec (1+ elsec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2555 ((match-end 3) ; found it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2556 (setq elsec (1- elsec))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2557 (if (= 0 elsec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2558 ;; Now previous line describes syntax
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2559 (setq found 't))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2560 ((looking-at verilog-end-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2561 (verilog-leap-to-head))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2562 ((looking-at "\\(endmodule\\>\\)\\|\\(\\<endprimitive\\>\\)\\|\\(\\<endclass\\>\\)\\|\\(\\<endprogram\\>\\)\\|\\(\\<endinterface\\>\\)\\|\\(\\<endpackage\\>\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2563 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2564 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2565 (verilog-re-search-backward "\\<\\(macro\\)?module\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2566 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2567 (verilog-re-search-backward "\\<primitive\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2568 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2569 (verilog-re-search-backward "\\<class\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2570 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2571 (verilog-re-search-backward "\\<program\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2572 ((match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2573 (verilog-re-search-backward "\\<interface\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2574 ((match-end 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2575 (verilog-re-search-backward "\\<package\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2576 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2577 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2578 (backward-sexp 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2579 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2580 (goto-char st)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2581 (backward-sexp)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2582
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2583 (defun verilog-forward-sexp ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2584 (let ((reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2585 (md 2)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2586 (st (point))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2587 (nest 'yes))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2588 (if (not (looking-at "\\<"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2589 (forward-word -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2590 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2591 ((verilog-skip-forward-comment-or-string)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2592 (verilog-forward-syntactic-ws))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2593 ((looking-at verilog-beg-block-re-ordered)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2594 (cond
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2595 ((match-end 1);
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2596 ;; Search forward for matching end
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2597 (setq reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)" ))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2598 ((match-end 2)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2599 ;; Search forward for matching endcase
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2600 (setq reg "\\(\\<randcase\\>\\|\\(\\<unique\\>\\s-+\\|\\<priority\\>\\s-+\\)?\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" )
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2601 (setq md 3) ;; ender is third item in regexp
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2602 )
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2603 ((match-end 4)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2604 ;; might be "disable fork" or "fork wait"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2605 (let
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2606 (here)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2607 (if (looking-at verilog-fork-wait-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2608 (progn ;; it is a fork wait; ignore it
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2609 (goto-char (match-end 0))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2610 (setq reg nil))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2611 (if (or
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2612 (looking-at verilog-disable-fork-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2613 (and (looking-at "fork")
107865
8fb2953d73a1 * progmodes/verilog-mode.el (verilog-forward-sexp): Avoid free variable.
Glenn Morris <rgm@gnu.org>
parents: 107793
diff changeset
2614 (progn
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2615 (setq here (point)) ;; sometimes a fork is just a fork
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2616 (forward-word -1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2617 (looking-at verilog-disable-fork-re))))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2618 (progn ;; it is a disable fork; ignore it
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2619 (goto-char (match-end 0))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2620 (forward-word 1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2621 (setq reg nil))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2622 (progn ;; it is a nice simple fork
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2623 (goto-char here) ;; return from looking for "disable fork"
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2624 ;; Search forward for matching join
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2625 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))))))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2626 ((match-end 6)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2627 ;; Search forward for matching endclass
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2628 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2629
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2630 ((match-end 7)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2631 ;; Search forward for matching endtable
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2632 (setq reg "\\<endtable\\>" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2633 (setq nest 'no))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2634 ((match-end 8)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2635 ;; Search forward for matching endspecify
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2636 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2637 ((match-end 9)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2638 ;; Search forward for matching endfunction
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2639 (setq reg "\\<endfunction\\>" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2640 (setq nest 'no))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2641 ((match-end 10)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2642 ;; Search forward for matching endfunction
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2643 (setq reg "\\<endfunction\\>" )
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2644 (setq nest 'no))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2645 ((match-end 14)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2646 ;; Search forward for matching endtask
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2647 (setq reg "\\<endtask\\>" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2648 (setq nest 'no))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2649 ((match-end 15)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2650 ;; Search forward for matching endtask
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2651 (setq reg "\\<endtask\\>" )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2652 (setq nest 'no))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2653 ((match-end 19)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2654 ;; Search forward for matching endgenerate
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2655 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2656 ((match-end 20)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2657 ;; Search forward for matching endgroup
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2658 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2659 ((match-end 21)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2660 ;; Search forward for matching endproperty
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2661 (setq reg "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2662 ((match-end 25)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2663 ;; Search forward for matching endsequence
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2664 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2665 (setq md 3)) ; 3 to get to endsequence in the reg above
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2666 ((match-end 27)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2667 ;; Search forward for matching endclocking
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2668 (setq reg "\\(\\<clocking\\>\\)\\|\\(\\<endclocking\\>\\)" )))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2669 (if (and reg
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2670 (forward-word 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2671 (catch 'skip
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2672 (if (eq nest 'yes)
107865
8fb2953d73a1 * progmodes/verilog-mode.el (verilog-forward-sexp): Avoid free variable.
Glenn Morris <rgm@gnu.org>
parents: 107793
diff changeset
2673 (let ((depth 1)
8fb2953d73a1 * progmodes/verilog-mode.el (verilog-forward-sexp): Avoid free variable.
Glenn Morris <rgm@gnu.org>
parents: 107793
diff changeset
2674 here)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2675 (while (verilog-re-search-forward reg nil 'move)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2676 (cond
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2677 ((match-end md) ; a closer in regular expression, so we are climbing out
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2678 (setq depth (1- depth))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2679 (if (= 0 depth) ; we are out!
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2680 (throw 'skip 1)))
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2681 ((match-end 1) ; an opener in the r-e, so we are in deeper now
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2682 (setq here (point)) ; remember where we started
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2683 (goto-char (match-beginning 1))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2684 (cond
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2685 ((looking-at verilog-fork-wait-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2686 (goto-char (match-end 0))) ; false alarm
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2687 ((if (or
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2688 (looking-at verilog-disable-fork-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2689 (and (looking-at "fork")
107865
8fb2953d73a1 * progmodes/verilog-mode.el (verilog-forward-sexp): Avoid free variable.
Glenn Morris <rgm@gnu.org>
parents: 107793
diff changeset
2690 (progn
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2691 (forward-word -1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2692 (looking-at verilog-disable-fork-re))))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2693 (progn ;; it is a disable fork; another false alarm
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2694 (goto-char (match-end 0)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2695 (progn ;; it is a simple fork (or has nothing to do with fork)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2696 (goto-char here)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
2697 (setq depth (1+ depth))))))))))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2698 (if (verilog-re-search-forward reg nil 'move)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2699 (throw 'skip 1))))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2700
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2701 ((looking-at (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2702 "\\(\\<\\(macro\\)?module\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2703 "\\(\\<primitive\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2704 "\\(\\<class\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2705 "\\(\\<program\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2706 "\\(\\<interface\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2707 "\\(\\<package\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2708 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2709 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2710 (verilog-re-search-forward "\\<endmodule\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2711 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2712 (verilog-re-search-forward "\\<endprimitive\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2713 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2714 (verilog-re-search-forward "\\<endclass\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2715 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2716 (verilog-re-search-forward "\\<endprogram\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2717 ((match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2718 (verilog-re-search-forward "\\<endinterface\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2719 ((match-end 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2720 (verilog-re-search-forward "\\<endpackage\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2721 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2722 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2723 (if (= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2724 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2725 (forward-sexp 1)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2726 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2727 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2728 (if (= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2729 (forward-char 1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2730 (forward-sexp 1))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2731
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2732 (defun verilog-declaration-beg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2733 (verilog-re-search-backward verilog-declaration-re (bobp) t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2734
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2735 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2736 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2737 ;; Mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2738 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2739 (defvar verilog-which-tool 1)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2740 ;;;###autoload
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2741 (defun verilog-mode ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2742 "Major mode for editing Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2743 \\<verilog-mode-map>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2744 See \\[describe-function] verilog-auto (\\[verilog-auto]) for details on how
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2745 AUTOs can improve coding efficiency.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2746
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2747 Use \\[verilog-faq] for a pointer to frequently asked questions.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2748
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2749 NEWLINE, TAB indents for Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2750 Delete converts tabs to spaces as it moves back.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2751
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2752 Supports highlighting.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2753
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2754 Turning on Verilog mode calls the value of the variable `verilog-mode-hook'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2755 with no args, if that value is non-nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2756
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2757 Variables controlling indentation/edit style:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2758
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2759 variable `verilog-indent-level' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2760 Indentation of Verilog statements with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2761 `verilog-indent-level-module' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2762 Absolute indentation of Module level Verilog statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2763 Set to 0 to get initial and always statements lined up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2764 on the left side of your screen.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2765 `verilog-indent-level-declaration' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2766 Indentation of declarations with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2767 Set to 0 to get them list right under containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2768 `verilog-indent-level-behavioral' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2769 Indentation of first begin in a task or function block
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2770 Set to 0 to get such code to lined up underneath the task or
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2771 function keyword.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2772 `verilog-indent-level-directive' (default 1)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2773 Indentation of `ifdef/`endif blocks.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2774 `verilog-cexp-indent' (default 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2775 Indentation of Verilog statements broken across lines i.e.:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2776 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2777 begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2778 `verilog-case-indent' (default 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2779 Indentation for case statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2780 `verilog-auto-newline' (default nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2781 Non-nil means automatically newline after semicolons and the punctuation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2782 mark after an end.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2783 `verilog-auto-indent-on-newline' (default t)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2784 Non-nil means automatically indent line after newline.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2785 `verilog-tab-always-indent' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2786 Non-nil means TAB in Verilog mode should always reindent the current line,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2787 regardless of where in the line point is when the TAB command is used.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2788 `verilog-indent-begin-after-if' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2789 Non-nil means to indent begin statements following a preceding
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2790 if, else, while, for and repeat statements, if any. Otherwise,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2791 the begin is lined up with the preceding token. If t, you get:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2792 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2793 begin // amount of indent based on `verilog-cexp-indent'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2794 otherwise you get:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2795 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2796 begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2797 `verilog-auto-endcomments' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2798 Non-nil means a comment /* ... */ is set after the ends which ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2799 cases, tasks, functions and modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2800 The type and name of the object will be set between the braces.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2801 `verilog-minimum-comment-distance' (default 10)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2802 Minimum distance (in lines) between begin and end required before a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2803 will be inserted. Setting this variable to zero results in every
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2804 end acquiring a comment; the default avoids too many redundant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2805 comments in tight quarters.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2806 `verilog-auto-lineup' (default 'declarations)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2807 List of contexts where auto lineup of code should be done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2808
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2809 Variables controlling other actions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2810
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2811 `verilog-linter' (default surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2812 Unix program to call to run the lint checker. This is the default
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2813 command for \\[compile-command] and \\[verilog-auto-save-compile].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2814
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2815 See \\[customize] for the complete list of variables.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2816
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2817 AUTO expansion functions are, in part:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2818
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2819 \\[verilog-auto] Expand AUTO statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2820 \\[verilog-delete-auto] Remove the AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2821 \\[verilog-inject-auto] Insert AUTOs for the first time.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2822
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2823 Some other functions are:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2824
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2825 \\[verilog-complete-word] Complete word with appropriate possibilities.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2826 \\[verilog-mark-defun] Mark function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2827 \\[verilog-beg-of-defun] Move to beginning of current function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2828 \\[verilog-end-of-defun] Move to end of current function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2829 \\[verilog-label-be] Label matching begin ... end, fork ... join, etc statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2830
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2831 \\[verilog-comment-region] Put marked area in a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2832 \\[verilog-uncomment-region] Uncomment an area commented with \\[verilog-comment-region].
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2833 \\[verilog-insert-block] Insert begin ... end.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2834 \\[verilog-star-comment] Insert /* ... */.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2835
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2836 \\[verilog-sk-always] Insert an always @(AS) begin .. end block.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2837 \\[verilog-sk-begin] Insert a begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2838 \\[verilog-sk-case] Insert a case block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2839 \\[verilog-sk-for] Insert a for (...) begin .. end block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2840 \\[verilog-sk-generate] Insert a generate .. endgenerate block.
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2841 \\[verilog-sk-header] Insert a header block at the top of file.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2842 \\[verilog-sk-initial] Insert an initial begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2843 \\[verilog-sk-fork] Insert a fork begin .. end .. join block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2844 \\[verilog-sk-module] Insert a module .. (/*AUTOARG*/);.. endmodule block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2845 \\[verilog-sk-primitive] Insert a primitive .. (.. );.. endprimitive block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2846 \\[verilog-sk-repeat] Insert a repeat (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2847 \\[verilog-sk-specify] Insert a specify .. endspecify block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2848 \\[verilog-sk-task] Insert a task .. begin .. end endtask block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2849 \\[verilog-sk-while] Insert a while (...) begin .. end block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2850 \\[verilog-sk-casex] Insert a casex (...) item: begin.. end endcase block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2851 \\[verilog-sk-casez] Insert a casez (...) item: begin.. end endcase block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2852 \\[verilog-sk-if] Insert an if (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2853 \\[verilog-sk-else-if] Insert an else if (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2854 \\[verilog-sk-comment] Insert a comment block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2855 \\[verilog-sk-assign] Insert an assign .. = ..; statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2856 \\[verilog-sk-function] Insert a function .. begin .. end endfunction block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2857 \\[verilog-sk-input] Insert an input declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2858 \\[verilog-sk-output] Insert an output declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2859 \\[verilog-sk-state-machine] Insert a state machine definition, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2860 \\[verilog-sk-inout] Insert an inout declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2861 \\[verilog-sk-wire] Insert a wire declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2862 \\[verilog-sk-reg] Insert a register declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2863 \\[verilog-sk-define-signal] Define signal under point as a register at the top of the module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2864
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2865 All key bindings can be seen in a Verilog-buffer with \\[describe-bindings].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2866 Key bindings specific to `verilog-mode-map' are:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2867
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2868 \\{verilog-mode-map}"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2869 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2870 (kill-all-local-variables)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2871 (use-local-map verilog-mode-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2872 (setq major-mode 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2873 (setq mode-name "Verilog")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2874 (setq local-abbrev-table verilog-mode-abbrev-table)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2875 (set (make-local-variable 'beginning-of-defun-function)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2876 'verilog-beg-of-defun)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2877 (set (make-local-variable 'end-of-defun-function)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2878 'verilog-end-of-defun)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2879 (set-syntax-table verilog-mode-syntax-table)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2880 (make-local-variable 'indent-line-function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2881 (setq indent-line-function 'verilog-indent-line-relative)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2882 (setq comment-indent-function 'verilog-comment-indent)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2883 (make-local-variable 'parse-sexp-ignore-comments)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2884 (setq parse-sexp-ignore-comments nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2885 (make-local-variable 'comment-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2886 (make-local-variable 'comment-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2887 (make-local-variable 'comment-multi-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2888 (make-local-variable 'comment-start-skip)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2889 (setq comment-start "// "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2890 comment-end ""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2891 comment-start-skip "/\\*+ *\\|// *"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2892 comment-multi-line nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2893 ;; Set up for compilation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2894 (setq verilog-which-tool 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2895 (setq verilog-tool 'verilog-linter)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2896 (verilog-set-compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2897 (when (boundp 'hack-local-variables-hook) ;; Also modify any file-local-variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2898 (add-hook 'hack-local-variables-hook 'verilog-modify-compile-command t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2899
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2900 ;; Setting up menus
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2901 (when (featurep 'xemacs)
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2902 (easy-menu-add verilog-stmt-menu)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2903 (easy-menu-add verilog-menu)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2904 (setq mode-popup-menu (cons "Verilog Mode" verilog-stmt-menu)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2905
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2906 ;; Stuff for GNU Emacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2907 (set (make-local-variable 'font-lock-defaults)
101958
e2dc5f14229e (verilog-mode): Avoid circular use of syntax-ppss.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 101002
diff changeset
2908 `((verilog-font-lock-keywords verilog-font-lock-keywords-1
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2909 verilog-font-lock-keywords-2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2910 verilog-font-lock-keywords-3)
101958
e2dc5f14229e (verilog-mode): Avoid circular use of syntax-ppss.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 101002
diff changeset
2911 nil nil nil
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2912 ,(if (functionp 'syntax-ppss)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2913 ;; verilog-beg-of-defun uses syntax-ppss, and syntax-ppss uses
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2914 ;; font-lock-beginning-of-syntax-function, so
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2915 ;; font-lock-beginning-of-syntax-function, can't use
101958
e2dc5f14229e (verilog-mode): Avoid circular use of syntax-ppss.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 101002
diff changeset
2916 ;; verilog-beg-of-defun.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2917 nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2918 'verilog-beg-of-defun)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2919 ;;------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2920 ;; now hook in 'verilog-colorize-include-files (eldo-mode.el&spice-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2921 ;; all buffer local:
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2922 (when (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2923 (make-local-hook 'font-lock-mode-hook)
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2924 (make-local-hook 'font-lock-after-fontify-buffer-hook); doesn't exist in Emacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2925 (make-local-hook 'after-change-functions))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2926 (add-hook 'font-lock-mode-hook 'verilog-colorize-include-files-buffer t t)
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2927 (add-hook 'font-lock-after-fontify-buffer-hook 'verilog-colorize-include-files-buffer t t) ; not in Emacs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2928 (add-hook 'after-change-functions 'verilog-colorize-include-files t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2929
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2930 ;; Tell imenu how to handle Verilog.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2931 (make-local-variable 'imenu-generic-expression)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2932 (setq imenu-generic-expression verilog-imenu-generic-expression)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2933 ;; Tell which-func-modes that imenu knows about verilog
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2934 (when (boundp 'which-function-modes)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2935 (add-to-list 'which-func-modes 'verilog-mode))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2936 ;; hideshow support
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2937 (when (boundp 'hs-special-modes-alist)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2938 (unless (assq 'verilog-mode hs-special-modes-alist)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2939 (setq hs-special-modes-alist
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2940 (cons '(verilog-mode-mode "\\<begin\\>" "\\<end\\>" nil
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2941 verilog-forward-sexp-function)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2942 hs-special-modes-alist))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2943
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2944 ;; Stuff for autos
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2945 (add-hook 'write-contents-hooks 'verilog-auto-save-check) ; already local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2946 (run-hooks 'verilog-mode-hook))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2947
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2948
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2949 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2950 ;; Electric functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2951 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2952 (defun electric-verilog-terminate-line (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2953 "Terminate line and indent next line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2954 With optional ARG, remove existing end of line comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2955 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2956 ;; before that see if we are in a comment
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2957 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2958 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2959 ((nth 7 state) ; Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2960 (if (eolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2961 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2962 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2963 (newline))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2964 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2965 (newline)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2966 (insert "// ")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2967 (beginning-of-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2968 (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2969 ((nth 4 state) ; Inside any comment (hence /**/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2970 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2971 (verilog-more-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2972 ((eolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2973 ;; First, check if current line should be indented
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2974 (if (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2975 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2976 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2977 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2978 (if (looking-at verilog-auto-end-comment-lines-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2979 (let ((indent-str (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2980 ;; Maybe we should set some endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2981 (if verilog-auto-endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2982 (verilog-set-auto-endcomments indent-str arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2983 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2984 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2985 (if arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2986 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2987 (newline))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2988 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2989 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2990 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2991 (delete-horizontal-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2992 't)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2993 ;; see if we should line up assignments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2994 (progn
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2995 (if (or (eq 'all verilog-auto-lineup)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2996 (eq 'assignments verilog-auto-lineup))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2997 (verilog-pretty-expr t "\\(<\\|:\\)?=" ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2998 (newline))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2999 (forward-line 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3000 ;; Indent next line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3001 (if verilog-auto-indent-on-newline
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3002 (verilog-indent-line)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3003 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3004 (newline)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3005
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3006 (defun electric-verilog-terminate-and-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3007 "Insert a newline and indent for the next statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3008 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3009 (electric-verilog-terminate-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3010
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3011 (defun electric-verilog-semi ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3012 "Insert `;' character and reindent the line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3013 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3014 (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3015
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3016 (if (or (verilog-in-comment-or-string-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3017 (verilog-in-escaped-name-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3018 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3019 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3020 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3021 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3022 (verilog-indent-line))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3023 (if (and verilog-auto-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3024 (not (verilog-parenthesis-depth)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3025 (electric-verilog-terminate-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3026
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3027 (defun electric-verilog-semi-with-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3028 "Insert `;' character, reindent the line and indent for comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3029 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3030 (insert "\;")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3031 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3032 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3033 (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3034 (indent-for-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3035
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3036 (defun electric-verilog-colon ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3037 "Insert `:' and do all indentations except line indent on this line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3038 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3039 (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3040 ;; Do nothing if within string.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3041 (if (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3042 (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3043 (not (verilog-in-case-region-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3044 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3045 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3046 (let ((p (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3047 (lim (progn (verilog-beg-of-statement) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3048 (goto-char p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3049 (verilog-backward-case-item lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3050 (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3051 ;; (let ((verilog-tab-always-indent nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3052 ;; (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3053 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3054
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3055 ;;(defun electric-verilog-equal ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3056 ;; "Insert `=', and do indentation if within block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3057 ;; (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3058 ;; (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3059 ;; Could auto line up expressions, but not yet
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3060 ;; (if (eq (car (verilog-calculate-indent)) 'block)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3061 ;; (let ((verilog-tab-always-indent nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3062 ;; (verilog-indent-command)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3063 ;; )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3064
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3065 (defun electric-verilog-tick ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3066 "Insert back-tick, and indent to column 0 if this is a CPP directive."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3067 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3068 (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3069 (save-excursion
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3070 (if (verilog-in-directive-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3071 (verilog-indent-line))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3072
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3073 (defun electric-verilog-tab ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3074 "Function called when TAB is pressed in Verilog mode."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3075 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3076 ;; If verilog-tab-always-indent, indent the beginning of the line.
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3077 (cond
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3078 ;; The region is active, indent it.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3079 ((and (region-active-p)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3080 (not (eq (region-beginning) (region-end))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3081 (indent-region (region-beginning) (region-end) nil))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3082 ((or verilog-tab-always-indent
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3083 (save-excursion
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3084 (skip-chars-backward " \t")
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3085 (bolp)))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3086 (let* ((oldpnt (point))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3087 (boi-point
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3088 (save-excursion
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3089 (beginning-of-line)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3090 (skip-chars-forward " \t")
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3091 (verilog-indent-line)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3092 (back-to-indentation)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3093 (point))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3094 (if (< (point) boi-point)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3095 (back-to-indentation)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3096 (cond ((not verilog-tab-to-comment))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3097 ((not (eolp))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3098 (end-of-line))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3099 (t
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3100 (indent-for-comment)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3101 (when (and (eolp) (= oldpnt (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3102 ; kill existing comment
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3103 (beginning-of-line)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3104 (re-search-forward comment-start-skip oldpnt 'move)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3105 (goto-char (match-beginning 0))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3106 (skip-chars-backward " \t")
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3107 (kill-region (point) oldpnt)))))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
3108 (t (progn (insert "\t")))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3109
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3110
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3111
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3112 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3113 ;; Interactive functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3114 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3115
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3116 (defun verilog-indent-buffer ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3117 "Indent-region the entire buffer as Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3118 To call this from the command line, see \\[verilog-batch-indent]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3119 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3120 (verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3121 (indent-region (point-min) (point-max) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3122
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3123 (defun verilog-insert-block ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3124 "Insert Verilog begin ... end; block in the code with right indentation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3125 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3126 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3127 (insert "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3128 (electric-verilog-terminate-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3129 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3130 (electric-verilog-terminate-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3131 (insert "end")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3132 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3133 (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3134
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3135 (defun verilog-star-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3136 "Insert Verilog star comment at point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3137 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3138 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3139 (insert "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3140 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3141 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3142 (insert " */"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3143 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3144 (insert " * "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3145
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3146 (defun verilog-insert-1 (fmt max)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3147 "Use format string FMT to insert integers 0 to MAX - 1.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3148 Inserts one integer per line, at the current column. Stops early
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3149 if it reaches the end of the buffer."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3150 (let ((col (current-column))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3151 (n 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3152 (save-excursion
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3153 (while (< n max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3154 (insert (format fmt n))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3155 (forward-line 1)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3156 ;; Note that this function does not bother to check for lines
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3157 ;; shorter than col.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3158 (if (eobp)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3159 (setq n max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3160 (setq n (1+ n))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3161 (move-to-column col))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3162
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3163 (defun verilog-insert-indices (max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3164 "Insert a set of indices into a rectangle.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3165 The upper left corner is defined by point. Indices begin with 0
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3166 and extend to the MAX - 1. If no prefix arg is given, the user
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3167 is prompted for a value. The indices are surrounded by square
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3168 brackets \[]. For example, the following code with the point
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3169 located after the first 'a' gives:
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3170
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3171 a = b a[ 0] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3172 a = b a[ 1] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3173 a = b a[ 2] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3174 a = b a[ 3] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3175 a = b ==> insert-indices ==> a[ 4] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3176 a = b a[ 5] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3177 a = b a[ 6] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3178 a = b a[ 7] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3179 a = b a[ 8] = b"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3180
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3181 (interactive "NMAX: ")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3182 (verilog-insert-1 "[%3d]" max))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3183
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3184 (defun verilog-generate-numbers (max)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3185 "Insert a set of generated numbers into a rectangle.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3186 The upper left corner is defined by point. The numbers are padded to three
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3187 digits, starting with 000 and extending to (MAX - 1). If no prefix argument
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3188 is supplied, then the user is prompted for the MAX number. Consider the
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3189 following code fragment:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3190
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3191 buf buf buf buf000
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3192 buf buf buf buf001
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3193 buf buf buf buf002
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3194 buf buf buf buf003
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3195 buf buf ==> generate-numbers ==> buf buf004
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3196 buf buf buf buf005
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3197 buf buf buf buf006
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3198 buf buf buf buf007
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3199 buf buf buf buf008"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3200
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3201 (interactive "NMAX: ")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3202 (verilog-insert-1 "%3.3d" max))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3203
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3204 (defun verilog-mark-defun ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3205 "Mark the current Verilog function (or procedure).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3206 This puts the mark at the end, and point at the beginning."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3207 (interactive)
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3208 (if (featurep 'xemacs)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3209 (progn
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3210 (push-mark (point))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3211 (verilog-end-of-defun)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3212 (push-mark (point))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3213 (verilog-beg-of-defun)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3214 (if (fboundp 'zmacs-activate-region)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3215 (zmacs-activate-region)))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3216 (mark-defun)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3217
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3218 (defun verilog-comment-region (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3219 ; checkdoc-params: (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3220 "Put the region into a Verilog comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3221 The comments that are in this area are \"deformed\":
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3222 `*)' becomes `!(*' and `}' becomes `!{'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3223 These deformed comments are returned to normal if you use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3224 \\[verilog-uncomment-region] to undo the commenting.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3225
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3226 The commented area starts with `verilog-exclude-str-start', and ends with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3227 `verilog-exclude-str-end'. But if you change these variables,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3228 \\[verilog-uncomment-region] won't recognize the comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3229 (interactive "r")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3230 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3231 ;; Insert start and endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3232 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3233 (if (and (save-excursion (skip-chars-forward " \t") (eolp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3234 (not (save-excursion (skip-chars-backward " \t") (bolp))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3235 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3236 (beginning-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3237 (insert verilog-exclude-str-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3238 (setq end (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3239 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3240 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3241 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3242 (insert verilog-exclude-str-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3243 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3244 ;; Replace end-comments within commented area
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3245 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3246 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3247 (while (re-search-backward "\\*/" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3248 (replace-match "*-/" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3249 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3250 (let ((s+1 (1+ start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3251 (while (re-search-backward "/\\*" s+1 t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3252 (replace-match "/-*" t t))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3253
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3254 (defun verilog-uncomment-region ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3255 "Uncomment a commented area; change deformed comments back to normal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3256 This command does nothing if the pointer is not in a commented
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3257 area. See also `verilog-comment-region'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3258 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3259 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3260 (let ((start (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3261 (end (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3262 ;; Find the boundaries of the comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3263 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3264 (setq start (progn (search-backward verilog-exclude-str-start nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3265 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3266 (setq end (progn (search-forward verilog-exclude-str-end nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3267 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3268 ;; Check if we're really inside a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3269 (if (or (equal start (point)) (<= end (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3270 (message "Not standing within commented area.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3271 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3272 ;; Remove endcomment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3273 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3274 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3275 (let ((pos (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3276 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3277 (delete-region pos (1+ (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3278 ;; Change comments back to normal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3279 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3280 (while (re-search-backward "\\*-/" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3281 (replace-match "*/" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3282 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3283 (while (re-search-backward "/-\\*" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3284 (replace-match "/*" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3285 ;; Remove start comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3286 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3287 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3288 (let ((pos (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3289 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3290 (delete-region pos (1+ (point)))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3291
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3292 (defun verilog-beg-of-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3293 "Move backward to the beginning of the current function or procedure."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3294 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3295 (verilog-re-search-backward verilog-defun-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3296
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3297 (defun verilog-end-of-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3298 "Move forward to the end of the current function or procedure."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3299 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3300 (verilog-re-search-forward verilog-end-defun-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3301
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3302 (defun verilog-get-beg-of-defun (&optional warn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3303 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3304 (cond ((verilog-re-search-forward-quick verilog-defun-re nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3305 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3306 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3307 (error "%s: Can't find module beginning" (verilog-point-text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3308 (point-max)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3309 (defun verilog-get-end-of-defun (&optional warn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3310 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3311 (cond ((verilog-re-search-forward-quick verilog-end-defun-re nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3312 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3313 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3314 (error "%s: Can't find endmodule" (verilog-point-text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3315 (point-max)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3316
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3317 (defun verilog-label-be (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3318 "Label matching begin ... end, fork ... join and case ... endcase statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3319 With ARG, first kill any existing labels."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3320 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3321 (let ((cnt 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3322 (oldpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3323 (b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3324 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3325 (point-marker)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3326 (e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3327 (verilog-end-of-defun)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3328 (point-marker))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3329 (goto-char (marker-position b))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3330 (if (> (- e b) 200)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3331 (message "Relabeling module..."))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3332 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3333 (> (marker-position e) (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3334 (verilog-re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3335 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3336 "\\<end\\(\\(function\\)\\|\\(task\\)\\|\\(module\\)\\|\\(primitive\\)\\|\\(interface\\)\\|\\(package\\)\\|\\(case\\)\\)?\\>"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3337 "\\|\\(`endif\\)\\|\\(`else\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3338 nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3339 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3340 (let ((indent-str (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3341 (verilog-set-auto-endcomments indent-str 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3342 (end-of-line)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3343 (delete-horizontal-space))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3344 (setq cnt (1+ cnt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3345 (if (= 9 (% cnt 10))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3346 (message "%d..." cnt)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3347 (goto-char oldpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3348 (if (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3349 (> (- e b) 200)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3350 (> cnt 20))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3351 (message "%d lines auto commented" cnt))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3352
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3353 (defun verilog-beg-of-statement ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3354 "Move backward to beginning of statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3355 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3356 ;; Move back token by token until we see the end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3357 ;; of some ealier line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3358 (while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3359 ;; If the current point does not begin a new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3360 ;; statement, as in the character ahead of us is a ';', or SOF
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
3361 ;; or the string after us unambiguously starts a statement,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3362 ;; or the token before us unambiguously ends a statement,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3363 ;; then move back a token and test again.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3364 (not (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3365 (bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3366 (= (preceding-char) ?\;)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3367 (looking-at "\\w+\\W*:\\W*\\(coverpoint\\|cross\\|constraint\\)")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3368 (not (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3369 (looking-at "\\<")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3370 (forward-word -1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3371 (and
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
3372 (looking-at verilog-complete-reg)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3373 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3374 (verilog-backward-token)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3375 (looking-at verilog-extended-complete-re))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3376 (looking-at verilog-basic-complete-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3377 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3378 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3379 (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3380 (looking-at verilog-end-block-re)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3381 (looking-at verilog-preprocessor-re)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3382 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3383 (verilog-backward-token))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3384 ;; Now point is where the previous line ended.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3385 (verilog-forward-syntactic-ws))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3386
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3387 (defun verilog-beg-of-statement-1 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3388 "Move backward to beginning of statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3389 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3390 (if (verilog-in-comment-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3391 (verilog-backward-syntactic-ws))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3392 (let ((pt (point)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3393 (catch 'done
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3394 (while (not (looking-at verilog-complete-reg))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3395 (setq pt (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3396 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3397 (if (or (bolp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3398 (= (preceding-char) ?\;))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3399 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3400 (goto-char pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3401 (throw 'done t))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3402 (verilog-backward-token))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3403 (verilog-forward-syntactic-ws)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3404 ;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3405 ; (while (and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3406 ; (not (looking-at verilog-complete-reg))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3407 ; (not (bolp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3408 ; (not (= (preceding-char) ?\;)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3409 ; (verilog-backward-token)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3410 ; (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3411 ; (setq pt (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3412 ; (goto-char pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3413 ; ;(verilog-forward-syntactic-ws)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3414
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3415 (defun verilog-end-of-statement ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3416 "Move forward to end of current statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3417 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3418 (let ((nest 0) pos)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3419 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3420 ((verilog-in-directive-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3421 (forward-line 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3422 (backward-char 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3423
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3424 ((looking-at verilog-beg-block-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3425 (verilog-forward-sexp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3426
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3427 ((equal (char-after) ?\})
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3428 (forward-char))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3429
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3430 ;; Skip to end of statement
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3431 ((condition-case nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3432 (setq pos
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3433 (catch 'found
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3434 (while t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3435 (forward-sexp 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3436 (verilog-skip-forward-comment-or-string)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3437 (if (eolp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3438 (forward-line 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3439 (cond ((looking-at "[ \t]*;")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3440 (skip-chars-forward "^;")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3441 (forward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3442 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3443 ((save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3444 (forward-sexp -1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3445 (looking-at verilog-beg-block-re))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3446 (goto-char (match-beginning 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3447 (throw 'found nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3448 ((looking-at "[ \t]*)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3449 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3450 ((eobp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3451 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3452 )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3453
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3454 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3455 (error nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3456 (if (not pos)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3457 ;; Skip a whole block
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3458 (catch 'found
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3459 (while t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3460 (verilog-re-search-forward verilog-end-statement-re nil 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3461 (setq nest (if (match-end 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3462 (1+ nest)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3463 (1- nest)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3464 (cond ((eobp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3465 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3466 ((= 0 nest)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3467 (throw 'found (verilog-end-of-statement))))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3468 pos)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3469
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3470 (defun verilog-in-case-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3471 "Return true if in a case region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3472 More specifically, point @ in the line foo : @ begin"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3473 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3474 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3475 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3476 (progn (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3477 (looking-at "\\<begin\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3478 (progn (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3479 (= (preceding-char) ?\:)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3480 (catch 'found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3481 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3482 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3483 (verilog-re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3484 (concat "\\(\\<module\\>\\)\\|\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3485 "\\(\\<endcase\\>\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3486 nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3487 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3488 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3489 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3490 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3491 (if (= nest 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3492 (throw 'found 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3493 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3494 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3495 (throw 'found (= nest 0)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3496 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3497 (defun verilog-in-struct-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3498 "Return true if in a struct region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3499 More specifically, in a list after a struct|union keyword."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3500 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3501 (save-excursion
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
3502 (let* ((state (verilog-syntax-ppss))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3503 (depth (nth 0 state)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3504 (if depth
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3505 (progn (backward-up-list depth)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3506 (verilog-beg-of-statement)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3507 (looking-at "\\<typedef\\>?\\s-*\\<struct\\|union\\>"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3508
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3509 (defun verilog-in-generate-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3510 "Return true if in a generate region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3511 More specifically, after a generate and before an endgenerate."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3512 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3513 (let ((nest 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3514 (save-excursion
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3515 (catch 'done
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3516 (while (and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3517 (/= nest 0)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3518 (verilog-re-search-backward
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3519 "\\<\\(module\\)\\|\\(generate\\)\\|\\(endgenerate\\)\\>" nil 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3520 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3521 ((match-end 1) ; module - we have crawled out
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3522 (throw 'done 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3523 ((match-end 2) ; generate
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3524 (setq nest (1- nest)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3525 ((match-end 3) ; endgenerate
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3526 (setq nest (1+ nest))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3527 (= nest 0) )) ; return nest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3528
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3529 (defun verilog-in-fork-region-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3530 "Return true if between a fork and join."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3531 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3532 (let ((lim (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3533 (nest 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3534 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3535 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3536 (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3537 (verilog-re-search-backward "\\<\\(fork\\)\\|\\(join\\(_any\\|_none\\)?\\)\\>" lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3538 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3539 ((match-end 1) ; fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3540 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3541 ((match-end 2) ; join
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3542 (setq nest (1+ nest)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3543 (= nest 0) )) ; return nest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3544
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3545 (defun verilog-backward-case-item (lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3546 "Skip backward to nearest enclosing case item.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3547 Limit search to point LIM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3548 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3549 (let ((str 'nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3550 (lim1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3551 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3552 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3553 (verilog-re-search-backward verilog-endcomment-reason-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3554 lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3555 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3556 ;; Try to find the real :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3557 (if (save-excursion (search-backward ":" lim1 t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3558 (let ((colon 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3559 b e )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3560 (while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3561 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3562 (< colon 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3563 (verilog-re-search-backward "\\(\\[\\)\\|\\(\\]\\)\\|\\(:\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3564 lim1 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3565 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3566 ((match-end 1) ;; [
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3567 (setq colon (1+ colon))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3568 (if (>= colon 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3569 (error "%s: unbalanced [" (verilog-point-text))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3570 ((match-end 2) ;; ]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3571 (setq colon (1- colon)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3572
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3573 ((match-end 3) ;; :
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3574 (setq colon (1+ colon)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3575 ;; Skip back to beginning of case item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3576 (skip-chars-backward "\t ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3577 (verilog-skip-backward-comment-or-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3578 (setq e (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3579 (setq b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3580 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3581 (if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3582 (verilog-re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3583 "\\<\\(case[zx]?\\)\\>\\|;\\|\\<end\\>" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3584 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3585 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3586 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3587 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3588 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3589 (if (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3590 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3591 (forward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3592 (verilog-forward-ws&directives)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3593 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3594 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3595 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3596 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3597 (point))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3598 (error "Malformed case item"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3599 (setq str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3600 (if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3601 (setq e
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3602 (string-match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3603 "[ \t]*\\(\\(\n\\)\\|\\(//\\)\\|\\(/\\*\\)\\)" str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3604 (setq str (concat (substring str 0 e) "...")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3605 str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3606 'nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3607
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3608
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3609 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3610 ;; Other functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3611 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3612
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3613 (defun verilog-kill-existing-comment ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3614 "Kill auto comment on this line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3615 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3616 (let* (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3617 (e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3618 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3619 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3620 (b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3621 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3622 (search-forward "//" e t))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3623 (if b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3624 (delete-region (- b 2) e)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3625
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3626 (defconst verilog-directive-nest-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3627 (concat "\\(`else\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3628 "\\(`endif\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3629 "\\(`if\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3630 "\\(`ifdef\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3631 "\\(`ifndef\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3632 (defun verilog-set-auto-endcomments (indent-str kill-existing-comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3633 "Add ending comment with given INDENT-STR.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3634 With KILL-EXISTING-COMMENT, remove what was there before.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3635 Insert `// case: 7 ' or `// NAME ' on this line if appropriate.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3636 Insert `// case expr ' if this line ends a case block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3637 Insert `// ifdef FOO ' if this line ends code conditional on FOO.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3638 Insert `// NAME ' if this line ends a function, task, module,
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3639 primitive or interface named NAME."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3640 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3641 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3642 (; Comment close preprocessor directives
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3643 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3644 (looking-at "\\(`endif\\)\\|\\(`else\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3645 (or kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3646 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3647 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3648 (search-backward "//" (verilog-get-beg-of-line) t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3649 (let ((nest 1) b e
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3650 m
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3651 (else (if (match-end 2) "!" " ")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3652 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3653 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3654 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3655 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3656 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3657 (backward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3658 (while (and (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3659 (verilog-re-search-backward verilog-directive-nest-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3660 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3661 ((match-end 1) ; `else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3662 (if (= nest 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3663 (setq else "!")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3664 ((match-end 2) ; `endif
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3665 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3666 ((match-end 3) ; `if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3667 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3668 ((match-end 4) ; `ifdef
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3669 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3670 ((match-end 5) ; `ifndef
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3671 (setq nest (1- nest)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3672 (if (match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3673 (setq
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3674 m (buffer-substring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3675 (match-beginning 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3676 (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3677 b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3678 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3679 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3680 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3681 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3682 (skip-chars-forward "a-zA-Z0-9_")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3683 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3684 (if b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3685 (if (> (count-lines (point) b) verilog-minimum-comment-distance)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3686 (insert (concat " // " else m " " (buffer-substring b e))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3687 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3688 (insert " // unmatched `else or `endif")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3689 (ding 't)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3690
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3691 (; Comment close case/class/function/task/module and named block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3692 (and (looking-at "\\<end")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3693 (or kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3694 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3695 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3696 (search-backward "//" (verilog-get-beg-of-line) t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3697 (let ((type (car indent-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3698 (unless (eq type 'declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3699 (unless (looking-at (concat "\\(" verilog-end-block-ordered-re "\\)[ \t]*:")) ;; ignore named ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3700 (if (looking-at verilog-end-block-ordered-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3701 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3702 (;- This is a case block; search back for the start of this case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3703 (match-end 1) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3704
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3705 (let ((err 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3706 (str "UNMATCHED!!"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3707 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3708 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3709 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3710 ((looking-at "\\<randcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3711 (setq str "randcase")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3712 (setq err nil))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3713 ((looking-at "\\(\\(unique\\s-+\\|priority\\s-+\\)?case[xz]?\\)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3714 (goto-char (match-end 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3715 (setq str (concat (match-string 0) " " (verilog-get-expr)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3716 (setq err nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3717 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3718 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3719 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3720 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3721 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3722 (insert (concat " // " str ))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3723 (if err (ding 't))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3724
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3725 (;- This is a begin..end block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3726 (match-end 2) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3727 (let ((str " // UNMATCHED !!")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3728 (err 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3729 (here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3730 there
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3731 cntx)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3732 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3733 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3734 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3735 (if (not (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3736 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3737 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3738 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3739 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3740 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3741 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3742 (insert str)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3743 (ding 't))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3744 (let ((lim
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3745 (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3746 (here (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3747 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3748 (;-- handle named block differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3749 (looking-at verilog-named-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3750 (search-forward ":")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3751 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3752 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3753 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3754 (setq str (concat " // block: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3755
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3756 ((verilog-in-case-region-p) ;-- handle case item differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3757 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3758 (setq str (verilog-backward-case-item lim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3759 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3760 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3761 (setq str (concat " // case: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3762
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3763 (;- try to find "reason" for this begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3764 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3765 (;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3766 (eq here (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3767 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3768 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3769 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3770 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3771 (setq str ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3772 ((looking-at verilog-endcomment-reason-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3773 (setq there (match-end 0))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3774 (setq cntx (concat (match-string 0) " "))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3775 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3776 (;- begin
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3777 (match-end 1)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3778 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3779 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3780 (if (and (verilog-continued-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3781 (looking-at "\\<repeat\\>\\|\\<wait\\>\\|\\<always\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3782 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3783 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3784 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3785 (setq str
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3786 (concat " // " (match-string 0) " " (verilog-get-expr))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3787 (setq str ""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3788
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3789 (;- else
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3790 (match-end 2)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3791 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3792 ( reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3793 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3794 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3795 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3796 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3797 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3798 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3799 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3800 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3801 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3802 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3803 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3804 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3805 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3806 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3807 (setq str (concat " // else: !if" str ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3808 (throw 'skip 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3810 (;- end else
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3811 (match-end 3)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3812 (goto-char there)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3813 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3814 (reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3815 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3816 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3817 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3818 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3819 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3820 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3821 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3822 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3823 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3824 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3825 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3826 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3827 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3828 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3829 (setq str (concat " // else: !if" str ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3830 (throw 'skip 1)))))))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3831 (; always_comb, always_ff, always_latch
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3832 (or (match-end 4) (match-end 5) (match-end 6))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3833 (goto-char (match-end 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3834 (setq there (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3835 (setq err nil)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3836 (setq str (concat " // " cntx )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3837
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3838 (;- task/function/initial et cetera
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3839 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3840 (match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3841 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3842 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3843 (setq err nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3844 (setq str (concat " // " cntx (verilog-get-expr))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3845
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3846 (;-- otherwise...
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3847 (setq str " // auto-endcomment confused "))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3848
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3849 ((and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3850 (verilog-in-case-region-p) ;-- handle case item differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3851 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3852 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3853 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3854 (setq str (verilog-backward-case-item lim))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3855 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3856 (setq str (concat " // case: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3857
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3858 ((verilog-in-fork-region-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3859 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3860 (setq str " // fork branch" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3861
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3862 ((looking-at "\\<end\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3863 ;; HERE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3864 (forward-word 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3865 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3866 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3867 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3868 (setq str (concat " // " cntx str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3869
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3870 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3871 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3872 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3873 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3874 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3875 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3876 (if (or err
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3877 (> (count-lines here there) verilog-minimum-comment-distance))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3878 (insert str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3879 (if err (ding 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3880 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3881 (;- this is endclass, which can be nested
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3882 (match-end 11) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3883 ;;(goto-char there)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3884 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3885 (reg "\\<\\(class\\)\\|\\(endclass\\)\\|\\(package\\|primitive\\|\\(macro\\)?module\\)\\>")
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3886 string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3887 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3888 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3889 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3890 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3891 ((match-end 3) ; endclass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3892 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3893 (setq string "unmatched endclass")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3894 (throw 'skip 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3895
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3896 ((match-end 2) ; endclass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3897 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3898
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3899 ((match-end 1) ; class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3900 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3901 (if (< nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3902 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3903 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3904 (let (b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3905 (setq b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3906 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3907 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3908 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3909 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3910 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3911 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3912 (setq string (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3913 (throw 'skip 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3914 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3915 (end-of-line)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3916 (insert (concat " // " string ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3917
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3918 (;- this is end{function,generate,task,module,primitive,table,generate}
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3919 ;- which can not be nested.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3920 t
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3921 (let (string reg (name-re nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3922 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3923 (if kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3924 (save-match-data
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3925 (verilog-kill-existing-comment)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3926 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3927 (backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3928 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3929 ((match-end 5) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3930 (setq reg "\\(\\<function\\>\\)\\|\\(\\<\\(endfunction\\|task\\|\\(macro\\)?module\\|primitive\\)\\>\\)")
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3931 (setq name-re "\\w+\\s-*(")
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3932 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3933 ((match-end 6) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3934 (setq reg "\\(\\<task\\>\\)\\|\\(\\<\\(endtask\\|function\\|\\(macro\\)?module\\|primitive\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3935 ((match-end 7) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3936 (setq reg "\\(\\<\\(macro\\)?module\\>\\)\\|\\<endmodule\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3937 ((match-end 8) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3938 (setq reg "\\(\\<primitive\\>\\)\\|\\(\\<\\(endprimitive\\|package\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3939 ((match-end 9) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3940 (setq reg "\\(\\<interface\\>\\)\\|\\(\\<\\(endinterface\\|package\\|primitive\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3941 ((match-end 10) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3942 (setq reg "\\(\\<package\\>\\)\\|\\(\\<\\(endpackage\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3943 ((match-end 11) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3944 (setq reg "\\(\\<class\\>\\)\\|\\(\\<\\(endclass\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3945 ((match-end 12) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3946 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<\\(endcovergroup\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3947 ((match-end 13) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3948 (setq reg "\\(\\<program\\>\\)\\|\\(\\<\\(endprogram\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3949 ((match-end 14) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3950 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<\\(endsequence\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3951 ((match-end 15) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3952 (setq reg "\\(\\<clocking\\>\\)\\|\\<endclocking\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3953
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3954 (t (error "Problem in verilog-set-auto-endcomments")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3955 (let (b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3956 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3957 (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3958 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3959 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3960 (setq b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3961 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3962 (verilog-forward-ws&directives)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3963 (if (and name-re (verilog-re-search-forward name-re nil 'move))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3964 (progn
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3965 (goto-char (match-beginning 0))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3966 (verilog-forward-ws&directives)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3967 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3968 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3969 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3970 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3971 (setq string (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3972 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3973 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3974 (setq string "unmatched end(function|task|module|primitive|interface|package|class|clocking)")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3975 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3976 (insert (concat " // " string )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3977 ))))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3978
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3979 (defun verilog-get-expr()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3980 "Grab expression at point, e.g, case ( a | b & (c ^d))."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3981 (let* ((b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3982 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3983 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3984 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3985 (e (let ((par 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3986 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3987 ((looking-at "@")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3988 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3989 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3990 (if (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3991 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3992 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3993 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3994 (verilog-re-search-forward "\\((\\)\\|\\()\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3995 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3996 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3997 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3998 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3999 (setq par (1- par)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4000 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4001 ((looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4002 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4003 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4004 (verilog-re-search-forward "\\((\\)\\|\\()\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4005 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4006 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4007 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4008 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4009 (setq par (1- par)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4010 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4011 ((looking-at "\\[")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4012 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4013 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4014 (verilog-re-search-forward "\\(\\[\\)\\|\\(\\]\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4015 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4016 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4017 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4018 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4019 (setq par (1- par)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4020 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4021 (skip-chars-forward "^ \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4022 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4023 ((looking-at "/[/\\*]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4024 b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4025 ('t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4026 (skip-chars-forward "^: \t\n\f")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4027 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4028 (str (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4029 (if (setq e (string-match "[ \t]*\\(\\(\n\\)\\|\\(//\\)\\|\\(/\\*\\)\\)" str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4030 (setq str (concat (substring str 0 e) "...")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4031 str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4032
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4033 (defun verilog-expand-vector ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4034 "Take a signal vector on the current line and expand it to multiple lines.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4035 Useful for creating tri's and other expanded fields."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4036 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4037 (verilog-expand-vector-internal "[" "]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4038
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4039 (defun verilog-expand-vector-internal (bra ket)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4040 "Given BRA, the start brace and KET, the end brace, expand one line into many lines."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4041 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4042 (forward-line 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4043 (let ((signal-string (buffer-substring (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4044 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4045 (end-of-line) (point)))))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4046 (if (string-match
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4047 (concat "\\(.*\\)"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4048 (regexp-quote bra)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4049 "\\([0-9]*\\)\\(:[0-9]*\\|\\)\\(::[0-9---]*\\|\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4050 (regexp-quote ket)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4051 "\\(.*\\)$") signal-string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4052 (let* ((sig-head (match-string 1 signal-string))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4053 (vec-start (string-to-number (match-string 2 signal-string)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4054 (vec-end (if (= (match-beginning 3) (match-end 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4055 vec-start
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4056 (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4057 (substring signal-string (1+ (match-beginning 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4058 (match-end 3)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4059 (vec-range
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4060 (if (= (match-beginning 4) (match-end 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4061 1
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4062 (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4063 (substring signal-string (+ 2 (match-beginning 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4064 (match-end 4)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4065 (sig-tail (match-string 5 signal-string))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4066 vec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4067 ;; Decode vectors
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4068 (setq vec nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4069 (if (< vec-range 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4070 (let ((tmp vec-start))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4071 (setq vec-start vec-end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4072 vec-end tmp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4073 vec-range (- vec-range))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4074 (if (< vec-end vec-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4075 (while (<= vec-end vec-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4076 (setq vec (append vec (list vec-start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4077 (setq vec-start (- vec-start vec-range)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4078 (while (<= vec-start vec-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4079 (setq vec (append vec (list vec-start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4080 (setq vec-start (+ vec-start vec-range))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4081 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4082 ;; Delete current line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4083 (delete-region (point) (progn (forward-line 0) (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4084 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4085 ;; Expand vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4086 (while vec
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4087 (insert (concat sig-head bra
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4088 (int-to-string (car vec)) ket sig-tail "\n"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4089 (setq vec (cdr vec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4090 (delete-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4091 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4092 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4093
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4094 (defun verilog-strip-comments ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4095 "Strip all comments from the Verilog code."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4096 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4097 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4098 (while (re-search-forward "//" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4099 (if (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4100 (re-search-forward "\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4101 (if (verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4102 (re-search-forward "\*/" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4103 (let ((bpt (- (point) 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4104 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4105 (delete-region bpt (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4106 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4107 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4108 (while (re-search-forward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4109 (if (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4110 (re-search-forward "\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4111 (let ((bpt (- (point) 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4112 (re-search-forward "\\*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4113 (delete-region bpt (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4114
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4115 (defun verilog-one-line ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4116 "Convert structural Verilog instances to occupy one line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4117 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4118 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4119 (while (re-search-forward "\\([^;]\\)[ \t]*\n[ \t]*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4120 (replace-match "\\1 " nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4121
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4122 (defun verilog-linter-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4123 "Return name of linter, either surelint or verilint."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4124 (let ((compile-word1 (verilog-string-replace-matches "\\s .*$" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4125 compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4126 (lint-word1 (verilog-string-replace-matches "\\s .*$" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4127 verilog-linter)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4128 (cond ((equal compile-word1 "surelint") `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4129 ((equal compile-word1 "verilint") `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4130 ((equal lint-word1 "surelint") `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4131 ((equal lint-word1 "verilint") `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4132 (t `surelint)))) ;; back compatibility
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4133
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4134 (defun verilog-lint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4135 "Convert a Verilog linter warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4136 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4137 pci_bfm_null.v, line 46: Unused input: pci_rst_
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4138 becomes a comment for the appropriate tool.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4139
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4140 The first word of the `compile-command' or `verilog-linter'
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4141 variables is used to determine which product is being used.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4142
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4143 See \\[verilog-surelint-off] and \\[verilog-verilint-off]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4144 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4145 (let ((linter (verilog-linter-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4146 (cond ((equal linter `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4147 (verilog-surelint-off))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4148 ((equal linter `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4149 (verilog-verilint-off))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4150 (t (error "Linter name not set")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4151
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4152 (defvar compilation-last-buffer)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4153 (defvar next-error-last-buffer)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4154
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4155 (defun verilog-surelint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4156 "Convert a SureLint warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4157 Run from Verilog source window; assumes there is a *compile* buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4158 with point set appropriately.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4159
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4160 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4161 WARNING [STD-UDDONX]: xx.v, line 8: output out is never assigned.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4162 becomes:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4163 // surefire lint_line_off UDDONX"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4164 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4165 (let ((buff (if (boundp 'next-error-last-buffer)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4166 next-error-last-buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4167 compilation-last-buffer)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4168 (when (buffer-live-p buff)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4169 ;; FIXME with-current-buffer?
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4170 (save-excursion
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4171 (switch-to-buffer buff)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4172 (beginning-of-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4173 (when
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4174 (looking-at "\\(INFO\\|WARNING\\|ERROR\\) \\[[^-]+-\\([^]]+\\)\\]: \\([^,]+\\), line \\([0-9]+\\): \\(.*\\)$")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4175 (let* ((code (match-string 2))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4176 (file (match-string 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4177 (line (match-string 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4178 (buffer (get-file-buffer file))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4179 dir filename)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4180 (unless buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4181 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4182 (setq buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4183 (and (file-exists-p file)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4184 (find-file-noselect file)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4185 (or buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4186 (let* ((pop-up-windows t))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4187 (let ((name (expand-file-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4188 (read-file-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4189 (format "Find this error in: (default %s) "
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4190 file)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4191 dir file t))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4192 (if (file-directory-p name)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4193 (setq name (expand-file-name filename name)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4194 (setq buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4195 (and (file-exists-p name)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4196 (find-file-noselect name))))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4197 (switch-to-buffer buffer)
104774
468b7fa34d2c Use forward-line rather than goto-line.
Glenn Morris <rgm@gnu.org>
parents: 104682
diff changeset
4198 (goto-char (point-min))
468b7fa34d2c Use forward-line rather than goto-line.
Glenn Morris <rgm@gnu.org>
parents: 104682
diff changeset
4199 (forward-line (- (string-to-number line)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4200 (end-of-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4201 (catch 'already
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4202 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4203 ((verilog-in-slash-comment-p)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4204 (re-search-backward "//")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4205 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4206 ((looking-at "// surefire lint_off_line ")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4207 (goto-char (match-end 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4208 (let ((lim (save-excursion (end-of-line) (point))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4209 (if (re-search-forward code lim 'move)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4210 (throw 'already t)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4211 (insert (concat " " code)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4212 (t
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4213 )))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4214 ((verilog-in-star-comment-p)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4215 (re-search-backward "/\*")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4216 (insert (format " // surefire lint_off_line %6s" code )))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4217 (t
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4218 (insert (format " // surefire lint_off_line %6s" code ))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4219 )))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4220
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4221 (defun verilog-verilint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4222 "Convert a Verilint warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4223
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4224 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4225 (W240) pci_bfm_null.v, line 46: Unused input: pci_rst_
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4226 becomes:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4227 //Verilint 240 off // WARNING: Unused input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4228 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4229 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4230 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4231 (when (looking-at "\\(.*\\)([WE]\\([0-9A-Z]+\\)).*,\\s +line\\s +[0-9]+:\\s +\\([^:\n]+\\):?.*$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4232 (replace-match (format
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4233 ;; %3s makes numbers 1-999 line up nicely
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4234 "\\1//Verilint %3s off // WARNING: \\3"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4235 (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4236 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4237 (verilog-indent-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4238
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4239 (defun verilog-auto-save-compile ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4240 "Update automatics with \\[verilog-auto], save the buffer, and compile."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4241 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4242 (verilog-auto) ; Always do it for safety
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4243 (save-buffer)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4244 (compile compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4245
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4246
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4247
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4248 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4249 ;; Batch
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4250 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4251
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4252 (defmacro verilog-batch-error-wrapper (&rest body)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4253 "Execute BODY and add error prefix to any errors found.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4254 This lets programs calling batch mode to easily extract error messages."
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4255 `(condition-case err
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4256 (progn ,@body)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4257 (error
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4258 (error "%%Error: %s%s" (error-message-string err)
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4259 (if (featurep 'xemacs) "\n" ""))))) ;; XEmacs forgets to add a newline
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4260
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4261 (defun verilog-batch-execute-func (funref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4262 "Internal processing of a batch command, running FUNREF on all command arguments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4263 (verilog-batch-error-wrapper
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4264 ;; Setting global variables like that is *VERY NASTY* !!! --Stef
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4265 ;; However, this function is called only when Emacs is being used as
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4266 ;; a standalone language instead of as an editor, so we'll live.
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4267 ;;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4268 ;; General globals needed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4269 (setq make-backup-files nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4270 (setq-default make-backup-files nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4271 (setq enable-local-variables t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4272 (setq enable-local-eval t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4273 ;; Make sure any sub-files we read get proper mode
104682
73bff1db57b6 * gnus/nnheader.el (nnheader-find-file-noselect):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 104581
diff changeset
4274 (setq-default major-mode 'verilog-mode)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4275 ;; Ditto files already read in
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4276 (mapc (lambda (buf)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4277 (when (buffer-file-name buf)
104682
73bff1db57b6 * gnus/nnheader.el (nnheader-find-file-noselect):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 104581
diff changeset
4278 (with-current-buffer buf
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4279 (verilog-mode))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4280 (buffer-list))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4281 ;; Process the files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4282 (mapcar '(lambda (buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4283 (when (buffer-file-name buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4284 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4285 (if (not (file-exists-p (buffer-file-name buf)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4286 (error
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4287 (concat "File not found: " (buffer-file-name buf))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4288 (message (concat "Processing " (buffer-file-name buf)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4289 (set-buffer buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4290 (funcall funref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4291 (save-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4292 (buffer-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4293
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4294 (defun verilog-batch-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4295 "For use with --batch, perform automatic expansions as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4296 This sets up the appropriate Verilog mode environment, updates automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4297 with \\[verilog-auto] on all command-line files, and saves the buffers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4298 For proper results, multiple filenames need to be passed on the command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4299 line in bottom-up order."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4300 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4301 (error "Use verilog-batch-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4302 (verilog-batch-execute-func `verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4303
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4304 (defun verilog-batch-delete-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4305 "For use with --batch, perform automatic deletion as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4306 This sets up the appropriate Verilog mode environment, deletes automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4307 with \\[verilog-delete-auto] on all command-line files, and saves the buffers."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4308 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4309 (error "Use verilog-batch-delete-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4310 (verilog-batch-execute-func `verilog-delete-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4311
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4312 (defun verilog-batch-inject-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4313 "For use with --batch, perform automatic injection as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4314 This sets up the appropriate Verilog mode environment, injects new automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4315 with \\[verilog-inject-auto] on all command-line files, and saves the buffers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4316 For proper results, multiple filenames need to be passed on the command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4317 line in bottom-up order."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4318 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4319 (error "Use verilog-batch-inject-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4320 (verilog-batch-execute-func `verilog-inject-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4321
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4322 (defun verilog-batch-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4323 "For use with --batch, reindent an a entire file as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4324 This sets up the appropriate Verilog mode environment, calls
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4325 \\[verilog-indent-buffer] on all command-line files, and saves the buffers."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4326 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4327 (error "Use verilog-batch-indent only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4328 (verilog-batch-execute-func `verilog-indent-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4329
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4330
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4331 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4332 ;; Indentation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4333 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4334 (defconst verilog-indent-alist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4335 '((block . (+ ind verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4336 (case . (+ ind verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4337 (cparenexp . (+ ind verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4338 (cexp . (+ ind verilog-cexp-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4339 (defun . verilog-indent-level-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4340 (declaration . verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4341 (directive . (verilog-calculate-indent-directive))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4342 (tf . verilog-indent-level)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4343 (behavioral . (+ verilog-indent-level-behavioral verilog-indent-level-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4344 (statement . ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4345 (cpp . 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4346 (comment . (verilog-comment-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4347 (unknown . 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4348 (string . 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4349
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4350 (defun verilog-continued-line-1 (lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4351 "Return true if this is a continued line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4352 Set point to where line starts. Limit search to point LIM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4353 (let ((continued 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4354 (if (eq 0 (forward-line -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4355 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4356 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4357 (verilog-backward-ws&directives lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4358 (if (bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4359 (setq continued nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4360 (setq continued (verilog-backward-token))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4361 (setq continued nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4362 continued))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4363
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4364 (defun verilog-calculate-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4365 "Calculate the indent of the current Verilog line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4366 Examine previous lines. Once a line is found that is definitive as to the
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4367 type of the current line, return that lines' indent level and its type.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4368 Return a list of two elements: (INDENT-TYPE INDENT-LEVEL)."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4369 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4370 (let* ((starting_position (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4371 (par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4372 (begin (looking-at "[ \t]*begin\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4373 (lim (save-excursion (verilog-re-search-backward "\\(\\<begin\\>\\)\\|\\(\\<module\\>\\)" nil t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4374 (type (catch 'nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4375 ;; Keep working backwards until we can figure out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4376 ;; what type of statement this is.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4377 ;; Basically we need to figure out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4378 ;; 1) if this is a continuation of the previous line;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4379 ;; 2) are we in a block scope (begin..end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4380
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4381 ;; if we are in a comment, done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4382 (if (verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4383 (throw 'nesting 'comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4384
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4385 ;; if we have a directive, done.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4386 (if (save-excursion (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4387 (and (looking-at verilog-directive-re-1)
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4388 (not (or (looking-at "[ \t]*`ovm_")
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4389 (looking-at "[ \t]*`vmm_")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4390 (throw 'nesting 'directive))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4391 ;; indent structs as if there were module level
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4392 (if (verilog-in-struct-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4393 (throw 'nesting 'block))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4395 ;; unless we are in the newfangled coverpoint or constraint blocks
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4396 ;; if we are in a parenthesized list, and the user likes to indent these, return.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4397 (if (and
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4398 verilog-indent-lists
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4399 (verilog-in-paren)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4400 (not (verilog-in-coverage-p))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4401 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4402 (progn (setq par 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4403 (throw 'nesting 'block)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4404
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4405 ;; See if we are continuing a previous line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4406 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4407 ;; trap out if we crawl off the top of the buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4408 (if (bobp) (throw 'nesting 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4409
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4410 (if (verilog-continued-line-1 lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4411 (let ((sp (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4412 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4413 (not (looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4414 (verilog-continued-line-1 lim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4415 (progn (goto-char sp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4416 (throw 'nesting 'cexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4417
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4418 (goto-char sp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4419
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4420 (if (and begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4421 (not verilog-indent-begin-after-if)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4422 (looking-at verilog-no-indent-begin-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4423 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4424 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4425 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4426 (throw 'nesting 'statement))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4427 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4428 (throw 'nesting 'cexp))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4429 ;; not a continued line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4430 (goto-char starting_position))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4431
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4432 (if (looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4433 ;; search back for governing if, striding across begin..end pairs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4434 ;; appropriately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4435 (let ((elsec 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4436 (while (verilog-re-search-backward verilog-ends-re nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4437 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4438 ((match-end 1) ; else, we're in deep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4439 (setq elsec (1+ elsec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4440 ((match-end 2) ; if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4441 (setq elsec (1- elsec))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4442 (if (= 0 elsec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4443 (if verilog-align-ifelse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4444 (throw 'nesting 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4445 (progn ;; back up to first word on this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4446 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4447 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4448 (throw 'nesting 'statement)))))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4449 ((match-end 3) ; assert block
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4450 (setq elsec (1- elsec))
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4451 (verilog-beg-of-statement) ;; doesn't get to beginning
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4452 (if (looking-at (concat "\\(" verilog-label-re "\\)?"
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4453 "\\(assert\\|assume\\|cover\\)\\s-+property\\>"))
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4454 (throw 'nesting 'statement) ; We don't need an endproperty for these
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4455 (throw 'nesting 'block) ;We still need a endproperty
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4456 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4457 (t ; endblock
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4458 ; try to leap back to matching outward block by striding across
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4459 ; indent level changing tokens then immediately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4460 ; previous line governs indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4461 (let (( reg) (nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4462 ;; verilog-ends => else|if|end|join(_any|_none|)|endcase|endclass|endtable|endspecify|endfunction|endtask|endgenerate|endgroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4463 (cond
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4464 ((match-end 4) ; end
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4465 ;; Search back for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4466 (setq reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4467 ((match-end 5) ; endcase
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4468 ;; Search back for matching case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4469 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4470 ((match-end 6) ; endfunction
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4471 ;; Search back for matching function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4472 (setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4473 ((match-end 7) ; endtask
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4474 ;; Search back for matching task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4475 (setq reg "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4476 ((match-end 8) ; endspecify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4477 ;; Search back for matching specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4478 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4479 ((match-end 9) ; endtable
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4480 ;; Search back for matching table
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4481 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4482 ((match-end 10) ; endgenerate
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4483 ;; Search back for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4484 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4485 ((match-end 11) ; joins
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4486 ;; Search back for matching fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4487 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|none\\)?\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4488 ((match-end 12) ; class
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4489 ;; Search back for matching class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4490 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4491 ((match-end 13) ; covergroup
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4492 ;; Search back for matching covergroup
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4493 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4494 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4495 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4496 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4497 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4498 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4499 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4500 (throw 'skip 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4501 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4502 (setq nest (1+ nest)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4503 )))))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4504 (throw 'nesting (verilog-calc-1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4505 );; catch nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4506 );; type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4507 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4508 ;; Return type of block and indent level.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4509 (if (not type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4510 (setq type 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4511 (if (> par 0) ; Unclosed Parenthesis
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4512 (list 'cparenexp par)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4513 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4514 ((eq type 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4515 (list type (verilog-case-indent-level)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4516 ((eq type 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4517 (list type (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4518 ((eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4519 (list type 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4520 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4521 (list type (verilog-current-indent-level))))))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4522
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4523 (defun verilog-wai ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4524 "Show matching nesting block for debugging."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4525 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4526 (save-excursion
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4527 (let* ((type (verilog-calc-1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4528 depth)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4529 ;; Return type of block and indent level.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4530 (if (not type)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4531 (setq type 'cpp))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4532 (if (and
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4533 verilog-indent-lists
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4534 (not(or (verilog-in-coverage-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4535 (verilog-in-struct-p)))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4536 (verilog-in-paren))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4537 (setq depth 1)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4538 (cond
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4539 ((eq type 'case)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4540 (setq depth (verilog-case-indent-level)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4541 ((eq type 'statement)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4542 (setq depth (current-column)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4543 ((eq type 'defun)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4544 (setq depth 0))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4545 (t
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4546 (setq depth (verilog-current-indent-level)))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4547 (message "You are at nesting %s depth %d" type depth))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4548
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4549 (defun verilog-calc-1 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4550 (catch 'nesting
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4551 (let ((re (concat "\\({\\|}\\|" verilog-indent-re "\\)")))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4552 (while (verilog-re-search-backward re nil 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4553 (catch 'continue
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4554 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4555 ((equal (char-after) ?\{)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4556 (if (verilog-at-constraint-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4557 (throw 'nesting 'block)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4558
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4559 ((equal (char-after) ?\})
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4560 (let ((there (verilog-at-close-constraint-p)))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4561 (if there ;; we are at the } that closes a constraint. Find the { that opens it
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4562 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4563 (forward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4564 (backward-list 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4565 (verilog-beg-of-statement)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4566
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4567 ((looking-at verilog-beg-block-re-ordered)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4568 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4569 ((match-end 2) ; *sigh* could be "unique case" or "priority casex"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4570 (let ((here (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4571 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4572 (if (looking-at verilog-extended-case-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4573 (throw 'nesting 'case)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4574 (goto-char here)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4575 (throw 'nesting 'case))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4576
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4577 ((match-end 4) ; *sigh* could be "disable fork"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4578 (let ((here (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4579 (verilog-beg-of-statement)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4580 (if (or (looking-at verilog-disable-fork-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4581 (looking-at verilog-fork-wait-re))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4582 t ; this is a normal statement
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4583 (progn ; or is fork, starts a new block
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4584 (goto-char here)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4585 (throw 'nesting 'block)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4586
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4587
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4588 ;; need to consider typedef struct here...
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4589 ((looking-at "\\<class\\|struct\\|function\\|task\\>")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4590 ; *sigh* These words have an optional prefix:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4591 ; extern {virtual|protected}? function a();
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4592 ; typedef class foo;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4593 ; and we don't want to confuse this with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4594 ; function a();
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4595 ; property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4596 ; ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4597 ; endfunction
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4598 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4599 (if (looking-at verilog-beg-block-re-ordered)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4600 (throw 'nesting 'block)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4601 (throw 'nesting 'defun)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4602
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4603 ((looking-at "\\<property\\>")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4604 ; *sigh*
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
4605 ; {assert|assume|cover} property (); are complete
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4606 ; and could also be labeled: - foo: assert property
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
4607 ; but
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
4608 ; property ID () ... needs end_property
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4609 (verilog-beg-of-statement)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4610 (if (looking-at (concat "\\(" verilog-label-re "\\)?"
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4611 "\\(assert\\|assume\\|cover\\)\\s-+property\\>"))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4612 (throw 'continue 'statement) ; We don't need an endproperty for these
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4613 (throw 'nesting 'block) ;We still need a endproperty
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4614 ))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4615
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4616 (t (throw 'nesting 'block))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4617
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4618 ((looking-at verilog-end-block-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4619 (verilog-leap-to-head)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4620 (if (verilog-in-case-region-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4621 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4622 (verilog-leap-to-case-head)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4623 (if (looking-at verilog-extended-case-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4624 (throw 'nesting 'case)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4625
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4626 ((looking-at verilog-defun-level-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4627 (if (looking-at verilog-defun-level-generate-only-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4628 (if (verilog-in-generate-region-p)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4629 (throw 'continue 'foo) ; always block in a generate - keep looking
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4630 (throw 'nesting 'defun))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4631 (throw 'nesting 'defun)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4632
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4633 ((looking-at verilog-cpp-level-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4634 (throw 'nesting 'cpp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4635
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4636 ((bobp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4637 (throw 'nesting 'cpp)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4638
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4639 (throw 'nesting 'cpp))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4640
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4641 (defun verilog-calculate-indent-directive ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4642 "Return indentation level for directive.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4643 For speed, the searcher looks at the last directive, not the indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4644 of the appropriate enclosing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4645 (let ((base -1) ;; Indent of the line that determines our indentation
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4646 (ind 0)) ;; Relative offset caused by other directives (like `endif on same line as `else)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4647 ;; Start at current location, scan back for another directive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4648
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4649 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4650 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4651 (while (and (< base 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4652 (verilog-re-search-backward verilog-directive-re nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4653 (cond ((save-excursion (skip-chars-backward " \t") (bolp))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4654 (setq base (current-indentation))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4655 (cond ((and (looking-at verilog-directive-end) (< base 0)) ;; Only matters when not at BOL
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4656 (setq ind (- ind verilog-indent-level-directive)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4657 ((and (looking-at verilog-directive-middle) (>= base 0)) ;; Only matters when at BOL
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4658 (setq ind (+ ind verilog-indent-level-directive)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4659 ((looking-at verilog-directive-begin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4660 (setq ind (+ ind verilog-indent-level-directive)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4661 ;; Adjust indent to starting indent of critical line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4662 (setq ind (max 0 (+ ind base))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4663
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4664 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4665 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4666 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4667 (cond ((or (looking-at verilog-directive-middle)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4668 (looking-at verilog-directive-end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4669 (setq ind (max 0 (- ind verilog-indent-level-directive))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4670 ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4671
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4672 (defun verilog-leap-to-case-head ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4673 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4674 (while (/= 0 nest)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4675 (verilog-re-search-backward
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4676 (concat
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4677 "\\(\\<randcase\\>\\|\\(\\<unique\\s-+\\|priority\\s-+\\)?\\<case[xz]?\\>\\)"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4678 "\\|\\(\\<endcase\\>\\)" )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4679 nil 'move)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4680 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4681 ((match-end 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4682 (let ((here (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4683 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4684 (unless (looking-at verilog-extended-case-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4685 (goto-char here)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4686 (setq nest (1- nest)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4687 ((match-end 3)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4688 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4689 ((bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4690 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4691 (setq nest 0))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4692
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4693 (defun verilog-leap-to-head ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4694 "Move point to the head of this block.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4695 Jump from end to matching begin, from endcase to matching case, and so on."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4696 (let ((reg nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4697 snest
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4698 (nesting 'yes)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4699 (nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4700 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4701 ((looking-at "\\<end\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4702 ;; 1: Search back for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4703 (setq reg (concat "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4704 "\\(\\<endcase\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" )))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4705 ((looking-at "\\<endtask\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4706 ;; 2: Search back for matching task
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4707 (setq reg "\\(\\<task\\>\\)\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)+\\<task\\>\\)")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4708 (setq nesting 'no))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4709 ((looking-at "\\<endcase\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4710 (catch 'nesting
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4711 (verilog-leap-to-case-head) )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4712 (setq reg nil) ; to force skip
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4713 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4714
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4715 ((looking-at "\\<join\\(_any\\|_none\\)?\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4716 ;; 4: Search back for matching fork
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4717 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4718 ((looking-at "\\<endclass\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4719 ;; 5: Search back for matching class
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4720 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4721 ((looking-at "\\<endtable\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4722 ;; 6: Search back for matching table
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4723 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4724 ((looking-at "\\<endspecify\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4725 ;; 7: Search back for matching specify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4726 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4727 ((looking-at "\\<endfunction\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4728 ;; 8: Search back for matching function
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4729 (setq reg "\\(\\<function\\>\\)\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)+\\<function\\>\\)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4730 (setq nesting 'no))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4731 ;;(setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4732 ((looking-at "\\<endgenerate\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4733 ;; 8: Search back for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4734 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4735 ((looking-at "\\<endgroup\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4736 ;; 10: Search back for matching covergroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4737 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4738 ((looking-at "\\<endproperty\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4739 ;; 11: Search back for matching property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4740 (setq reg "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4741 ((looking-at verilog-ovm-end-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4742 ;; 12: Search back for matching sequence
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4743 (setq reg (concat "\\(" verilog-ovm-begin-re "\\|" verilog-ovm-end-re "\\)")))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4744 ((looking-at verilog-vmm-end-re)
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4745 ;; 12: Search back for matching sequence
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4746 (setq reg (concat "\\(" verilog-vmm-begin-re "\\|" verilog-vmm-end-re "\\)")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4747 ((looking-at "\\<endinterface\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4748 ;; 12: Search back for matching interface
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4749 (setq reg "\\(\\<interface\\>\\)\\|\\(\\<endinterface\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4750 ((looking-at "\\<endsequence\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4751 ;; 12: Search back for matching sequence
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4752 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4753 ((looking-at "\\<endclocking\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4754 ;; 12: Search back for matching clocking
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4755 (setq reg "\\(\\<clocking\\)\\|\\(\\<endclocking\\>\\)" )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4756 (if reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4757 (catch 'skip
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4758 (if (eq nesting 'yes)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4759 (let (sreg)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4760 (while (verilog-re-search-backward reg nil 'move)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4761 (cond
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4762 ((match-end 1) ; begin
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4763 (if (looking-at "fork")
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4764 (let ((here (point)))
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4765 (verilog-beg-of-statement)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4766 (unless (looking-at verilog-disable-fork-re)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4767 (goto-char here)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4768 (setq nest (1- nest))))
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4769 (setq nest (1- nest)))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4770 (if (= 0 nest)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4771 ;; Now previous line describes syntax
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4772 (throw 'skip 1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4773 (if (and snest
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4774 (= snest nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4775 (setq reg sreg)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4776 ((match-end 2) ; end
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4777 (setq nest (1+ nest)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4778 ((match-end 3)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4779 ;; endcase, jump to case
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4780 (setq snest nest)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4781 (setq nest (1+ nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4782 (setq sreg reg)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4783 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" ))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4784 ((match-end 4)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4785 ;; join, jump to fork
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4786 (setq snest nest)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4787 (setq nest (1+ nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4788 (setq sreg reg)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4789 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4790 )))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4791 ;no nesting
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4792 (if (and
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4793 (verilog-re-search-backward reg nil 'move)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4794 (match-end 1)) ; task -> could be virtual and/or protected
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4795 (progn
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4796 (verilog-beg-of-statement)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4797 (throw 'skip 1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4798 (throw 'skip 1)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4799
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4800 (defun verilog-continued-line ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4801 "Return true if this is a continued line.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4802 Set point to where line starts."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4803 (let ((continued 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4804 (if (eq 0 (forward-line -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4805 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4806 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4807 (verilog-backward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4808 (if (bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4809 (setq continued nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4810 (while (and continued
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4811 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4812 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4813 (not (bolp))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4814 (setq continued (verilog-backward-token)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4815 (setq continued nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4816 continued))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4817
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4818 (defun verilog-backward-token ()
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
4819 "Step backward token, returing true if this is a continued line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4820 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4821 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4822 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4823 ((bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4824 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4825 (;-- Anything ending in a ; is complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4826 (= (preceding-char) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4827 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4828 (; If a "}" is prefixed by a ";", then this is a complete statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4829 ; i.e.: constraint foo { a = b; }
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4830 (= (preceding-char) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4831 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4832 (backward-char)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4833 (not(verilog-at-close-constraint-p))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4834 (;-- constraint foo { a = b }
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4835 ; is a complete statement. *sigh*
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4836 (= (preceding-char) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4837 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4838 (backward-char)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4839 (not (verilog-at-constraint-p))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4840 (;" string "
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4841 (= (preceding-char) ?\")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4842 (backward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4843 (verilog-skip-backward-comment-or-string)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4844 nil)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4845
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4846 (; [3:4]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4847 (= (preceding-char) ?\])
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4848 (backward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4849 (verilog-backward-open-bracket)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4850 t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4851
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4852 (;-- Could be 'case (foo)' or 'always @(bar)' which is complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4853 ; also could be simply '@(foo)'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4854 ; or foo u1 #(a=8)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4855 ; (b, ... which ISN'T complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4856 ;;;; Do we need this???
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4857 (= (preceding-char) ?\))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4858 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4859 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4860 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4861 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4862 (let ((back (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4863 (forward-word -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4864 (cond
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4865 ;;XX
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4866 ((looking-at "\\<\\(always\\(_latch\\|_ff\\|_comb\\)?\\|case\\(\\|[xz]\\)\\|for\\(\\|each\\|ever\\)\\|i\\(f\\|nitial\\)\\|repeat\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4867 (not (looking-at "\\<randcase\\>\\|\\<case[xz]?\\>[^:]")))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4868 ((looking-at verilog-ovm-statement-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4869 nil)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4870 ((looking-at verilog-ovm-begin-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4871 t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4872 ((looking-at verilog-ovm-end-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4873 t)
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4874 ;; JBA find VMM macros
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4875 ((looking-at verilog-vmm-statement-re)
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4876 nil )
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4877 ((looking-at verilog-vmm-begin-re)
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4878 t)
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4879 ((looking-at verilog-vmm-end-re)
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4880 nil)
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4881 ;; JBA trying to catch macro lines with no ; at end
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4882 ((looking-at "\\<`")
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
4883 nil)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4884 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4885 (goto-char back)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4886 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4887 ((= (preceding-char) ?\@)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4888 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4889 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4890 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4891 (not (looking-at "\\<\\(always\\(_latch\\|_ff\\|_comb\\)?\\|initial\\|while\\)\\>"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4892 ((= (preceding-char) ?\#)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4893 (backward-char))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4894 (t t)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4895
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4896 (;-- any of begin|initial|while are complete statements; 'begin : foo' is also complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4897 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4898 (forward-word -1)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4899 (while (= (preceding-char) ?\_)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
4900 (forward-word -1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4901 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4902 ((looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4903 t)
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4904 ((looking-at verilog-behavioral-block-beg-re)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4905 t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4906 ((looking-at verilog-indent-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4907 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4908 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4909 (let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4910 ((back (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4911 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4912 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4913 ((= (preceding-char) ?\:)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4914 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4915 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4916 (backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4917 (if (looking-at verilog-nameable-item-re )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4918 nil
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4919 t))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4920 ((= (preceding-char) ?\#)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4921 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4922 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4923 ((= (preceding-char) ?\`)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4924 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4925 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4926
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4927 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4928 (goto-char back)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4929 t))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4930
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4931 (defun verilog-backward-syntactic-ws ()
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4932 (verilog-skip-backward-comments)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4933 (forward-comment (- (buffer-size))))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4934
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4935 (defun verilog-forward-syntactic-ws ()
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4936 (verilog-skip-forward-comment-p)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
4937 (forward-comment (buffer-size)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4938
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4939 (defun verilog-backward-ws&directives (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4940 "Backward skip over syntactic whitespace and compiler directives for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4941 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4942 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4943 (let* ((bound (or bound (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4944 (here bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4945 (p nil) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4946 (if (< bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4947 (progn
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4948 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4949 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4950 ((nth 7 state) ;; in // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4951 (verilog-re-search-backward "//" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4952 (skip-chars-backward "/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4953 ((nth 4 state) ;; in /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4954 (verilog-re-search-backward "/\*" nil 'move))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4955 (narrow-to-region bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4956 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4957 (setq here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4958 (verilog-skip-backward-comments)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4959 (setq p
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4960 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4961 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4962 (cond
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4963 ((and verilog-highlight-translate-off
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4964 (verilog-within-translate-off))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4965 (verilog-back-to-start-translate-off (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4966 ((looking-at verilog-directive-re-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4967 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4968 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4969 nil))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4970 (if p (goto-char p))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4971
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4972 (defun verilog-forward-ws&directives (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4973 "Forward skip over syntactic whitespace and compiler directives for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4974 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4975 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4976 (let* ((bound (or bound (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4977 (here bound)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4978 jump)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4979 (if (> bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4980 (progn
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4981 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4982 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4983 ((nth 7 state) ;; in // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4984 (verilog-re-search-forward "//" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4985 ((nth 4 state) ;; in /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4986 (verilog-re-search-forward "/\*" nil 'move))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4987 (narrow-to-region (point) bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4988 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4989 (setq here (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4990 jump nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4991 (forward-comment (buffer-size))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4992 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4993 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4994 (if (looking-at verilog-directive-re-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4995 (setq jump t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4996 (if jump
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4997 (beginning-of-line 2))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4998
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4999 (defun verilog-in-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5000 "Return true if in a star or // comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5001 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5002 (or (nth 4 state) (nth 7 state))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5003
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5004 (defun verilog-in-star-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5005 "Return true if in a star comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5006 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5007 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5008 (nth 4 state) ; t if in a comment of style a // or b /**/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5009 (not
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5010 (nth 7 state) ; t if in a comment of style b /**/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5011 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5012
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5013 (defun verilog-in-slash-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5014 "Return true if in a slash comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5015 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5016 (nth 7 state)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5017
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5018 (defun verilog-in-comment-or-string-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5019 "Return true if in a string or comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5020 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5021 (or (nth 3 state) (nth 4 state) (nth 7 state)))) ; Inside string or comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5022
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5023 (defun verilog-in-escaped-name-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5024 "Return true if in an escaped name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5025 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5026 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5027 (skip-chars-backward "^ \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5028 (if (equal (char-after (point) ) ?\\ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5029 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5030 nil)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5031 (defun verilog-in-directive-p ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5032 "Return true if in a star or // comment."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5033 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5034 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5035 (looking-at verilog-directive-re-1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5036
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5037 (defun verilog-in-paren ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5038 "Return true if in a parenthetical expression."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5039 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5040 (> (nth 0 state) 0 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5041
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5042 (defun verilog-in-struct-p ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5043 "Return true if in a struct declaration."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5044 (interactive)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5045 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5046 (if (verilog-in-paren)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5047 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5048 (backward-up-list 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5049 (verilog-at-struct-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5050 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5051 nil)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5052
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5053 (defun verilog-in-coverage-p ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5054 "Return true if in a constraint or coverpoint expression."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5055 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5056 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5057 (if (verilog-in-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5058 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5059 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5060 (verilog-at-constraint-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5061 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5062 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5063 (defun verilog-at-close-constraint-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5064 "If at the } that closes a constraint or covergroup, return true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5065 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5066 (equal (char-after) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5067 (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5068
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5069 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5070 (verilog-backward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5071 (if (equal (char-before) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5072 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5073 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5074
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5075 (defun verilog-at-constraint-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5076 "If at the { of a constraint or coverpoint definition, return true, moving point to constraint."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5077 (if (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5078 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5079 (equal (char-after) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5080 (forward-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5081 (progn (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5082 (verilog-backward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5083 (equal (char-before) ?\;))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5084 ;; maybe
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5085 (verilog-re-search-backward "\\<constraint\\|coverpoint\\|cross\\>" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5086 ;; not
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5087 nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5088
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5089 (defun verilog-at-struct-p ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5090 "If at the { of a struct, return true, moving point to struct."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5091 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5092 (if (and (equal (char-after) ?\{)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5093 (verilog-backward-token))
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5094 (looking-at "\\<struct\\|union\\|packed\\|\\(un\\)?signed\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5095 nil)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5096
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5097 (defun verilog-parenthesis-depth ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5098 "Return non zero if in parenthetical-expression."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5099 (save-excursion (nth 1 (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5100
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5101
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5102 (defun verilog-skip-forward-comment-or-string ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5103 "Return true if in a string or comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5104 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5105 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5106 ((nth 3 state) ;Inside string
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
5107 (search-forward "\"")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5108 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5109 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5110 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5111 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5112 ((nth 4 state) ;Inside any comment (hence /**/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5113 (search-forward "*/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5114 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5115 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5116
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5117 (defun verilog-skip-backward-comment-or-string ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5118 "Return true if in a string or comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5119 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5120 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5121 ((nth 3 state) ;Inside string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5122 (search-backward "\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5123 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5124 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5125 (search-backward "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5126 (skip-chars-backward "/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5127 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5128 ((nth 4 state) ;Inside /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5129 (search-backward "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5130 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5131 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5132 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5133
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5134 (defun verilog-skip-backward-comments ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5135 "Return true if a comment was skipped."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5136 (let ((more t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5137 (while more
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5138 (setq more
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5139 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5140 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5141 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5142 (search-backward "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5143 (skip-chars-backward "/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5144 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5145 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5146 ((nth 4 state) ;Inside /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5147 (search-backward "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5148 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5149 t)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5150 ((if (and (not (bobp))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5151 (= (char-before) ?\/)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5152 (= (char-before (1- (point))) ?\*))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5153 (goto-char (- (point) 2))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5154 (/= (skip-chars-backward " \t\n\f") 0)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5155
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5156 (defun verilog-skip-forward-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5157 "If in comment, move to end and return true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5158 (let (state)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5159 (progn
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
5160 (setq state (save-excursion (verilog-syntax-ppss)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5161 (cond
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5162 ((nth 3 state) ;Inside string
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5163 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5164 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5165 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5166 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5167 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5168 ((nth 4 state) ;Inside any comment
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5169 (search-forward "*/")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5170 (skip-chars-forward " \t\n\f")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5171 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5172 (t
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5173 (skip-chars-forward " \t\n\f"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5174
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5175 (defun verilog-indent-line-relative ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5176 "Cheap version of indent line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5177 Only look at a few lines to determine indent level."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5178 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5179 (let ((indent-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5180 (sp (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5181 (if (looking-at "^[ \t]*$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5182 (cond ;- A blank line; No need to be too smart.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5183 ((bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5184 (setq indent-str (list 'cpp 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5185 ((verilog-continued-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5186 (let ((sp1 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5187 (if (verilog-continued-line)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5188 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5189 (goto-char sp)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5190 (setq indent-str
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5191 (list 'statement (verilog-current-indent-level))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5192 (goto-char sp1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5193 (setq indent-str (list 'block (verilog-current-indent-level)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5194 (goto-char sp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5195 ((goto-char sp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5196 (setq indent-str (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5197 (progn (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5198 (setq indent-str (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5199 (verilog-do-indent indent-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5200
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5201 (defun verilog-indent-line ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5202 "Indent for special part of code."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5203 (verilog-do-indent (verilog-calculate-indent)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5204
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5205 (defun verilog-do-indent (indent-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5206 (let ((type (car indent-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5207 (ind (car (cdr indent-str))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5208 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5209 (; handle continued exp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5210 (eq type 'cexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5211 (let ((here (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5212 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5213 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5214 ((or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5215 (= (preceding-char) ?\,)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5216 (= (preceding-char) ?\])
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5217 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5218 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5219 (looking-at verilog-declaration-re)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5220 (let* ( fst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5221 (val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5222 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5223 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5224 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5225 (setq fst (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5226 (if (looking-at verilog-declaration-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5227 (progn ;; we have multiple words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5228 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5229 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5230 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5231 ((and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5232 (= (following-char) ?\`))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5233 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5234 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5235 (forward-word 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5236 (skip-chars-forward " \t")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5237 ((= (following-char) ?\[)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5238 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5239 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5240 (backward-up-list -1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5241 (skip-chars-forward " \t"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5242 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5243 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5244 (goto-char fst)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5245 (+ (current-column) verilog-cexp-indent))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5246 (goto-char here)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5247 (indent-line-to val)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5248 ((= (preceding-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5249 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5250 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5251 (indent-line-to val)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5252 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5253 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5254 (let ((val))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5255 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5256 (if (and (< (point) here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5257 (verilog-re-search-forward "=[ \\t]*" here 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5258 (setq val (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5259 (setq val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5260 (goto-char here)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5261 (indent-line-to val))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5262
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5263 (; handle inside parenthetical expressions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5264 (eq type 'cparenexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5265 (let ((val (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5266 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5267 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5268 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5269 (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5270 (indent-line-to val)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
5271 ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5272
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5273 (;-- Handle the ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5274 (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5275 (looking-at verilog-end-block-re )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5276 (verilog-at-close-constraint-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5277 (let ((val (if (eq type 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5278 (- ind verilog-indent-level)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5279 ind)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5280 (indent-line-to val)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5281
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5282 (;-- Case -- maybe line 'em up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5283 (and (eq type 'case) (not (looking-at "^[ \t]*$")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5284 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5285 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5286 ((looking-at "\\<endcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5287 (indent-line-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5288 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5289 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5290 (indent-line-to val))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5291
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5292 (;-- defun
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5293 (and (eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5294 (looking-at verilog-zero-indent-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5295 (indent-line-to 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5296
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5297 (;-- declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5298 (and (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5299 (eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5300 (eq type 'block))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5301 (looking-at verilog-declaration-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5302 (verilog-indent-declaration ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5303
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5304 (;-- Everything else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5305 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5306 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5307 (indent-line-to val))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5308
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5309 (if (looking-at "[ \t]+$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5310 (skip-chars-forward " \t"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5311 indent-str ; Return indent data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5312 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5313
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5314 (defun verilog-current-indent-level ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5315 "Return the indent-level of the current statement."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5316 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5317 (let (par-pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5318 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5319 (setq par-pos (verilog-parenthesis-depth))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5320 (while par-pos
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5321 (goto-char par-pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5322 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5323 (setq par-pos (verilog-parenthesis-depth)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5324 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5325 (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5326
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5327 (defun verilog-case-indent-level ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5328 "Return the indent-level of the current statement.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5329 Do not count named blocks or case-statements."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5330 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5331 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5332 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5333 ((looking-at verilog-named-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5334 (current-column))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5335 ((and (not (looking-at verilog-extended-case-re))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5336 (looking-at "^[^:;]+[ \t]*:"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5337 (verilog-re-search-forward ":" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5338 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5339 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5340 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5341 (current-column)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5342
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5343 (defun verilog-indent-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5344 "Indent current line as comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5345 (let* ((stcol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5346 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5347 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5348 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5349 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5350 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5351 (comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5352 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5353 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5354 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5355 (re-search-backward "//" nil t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5356 (current-column))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5357 (indent-line-to stcol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5358 stcol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5359
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5360 (defun verilog-more-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5361 "Make more comment lines like the previous."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5362 (let* ((star 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5363 (stcol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5364 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5365 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5366 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5367 (setq star 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5368 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5369 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5370 (comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5371 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5372 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5373 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5374 (re-search-backward "//" nil t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5375 (current-column))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5376 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5377 (indent-to stcol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5378 (if (and star
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5379 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5380 (forward-line -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5381 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5382 (looking-at "\*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5383 (insert "* ")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5384
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5385 (defun verilog-comment-indent (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5386 "Return the column number the line should be indented to.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5387 ARG is ignored, for `comment-indent-function' compatibility."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5388 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5389 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5390 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5391 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5392 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5393 ( comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5394 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5395 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5396 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5397 (re-search-backward "//" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5398 (current-column)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5399
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5400 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5401
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5402 (defun verilog-pretty-declarations (&optional quiet)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5403 "Line up declarations around point.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5404 Be verbose about progress unless optional QUIET set."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5405 (interactive)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5406 (let* ((m1 (make-marker))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5407 (e (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5408 el
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5409 r
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5410 (here (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5411 ind
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5412 start
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5413 startpos
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5414 end
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5415 endpos
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5416 base-ind
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5417 )
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5418 (save-excursion
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5419 (if (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5420 ; (verilog-beg-of-statement-1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5421 (beginning-of-line)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5422 (verilog-forward-syntactic-ws)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5423 (and (not (verilog-in-directive-p)) ;; could have `define input foo
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5424 (looking-at verilog-declaration-re)))
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5425 (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5426 (if (verilog-parenthesis-depth)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5427 ;; in an argument list or parameter block
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5428 (setq el (backward-up-list -1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5429 start (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5430 (goto-char e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5431 (backward-up-list 1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5432 (forward-line) ;; ignore ( input foo,
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5433 (verilog-re-search-forward verilog-declaration-re el 'move)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5434 (goto-char (match-beginning 0))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5435 (skip-chars-backward " \t")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5436 (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5437 startpos (set-marker (make-marker) start)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5438 end (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5439 (goto-char start)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5440 (backward-up-list -1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5441 (forward-char -1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5442 (verilog-backward-syntactic-ws)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5443 (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5444 endpos (set-marker (make-marker) end)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5445 base-ind (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5446 (goto-char start)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5447 (verilog-do-indent (verilog-calculate-indent))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5448 (verilog-forward-ws&directives)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5449 (current-column))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5450 )
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5451 ;; in a declaration block (not in argument list)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5452 (setq
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5453 start (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5454 (verilog-beg-of-statement-1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5455 (while (and (looking-at verilog-declaration-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5456 (not (bobp)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5457 (skip-chars-backward " \t")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5458 (setq e (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5459 (beginning-of-line)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5460 (verilog-backward-syntactic-ws)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5461 (backward-char)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5462 (verilog-beg-of-statement-1))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5463 e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5464 startpos (set-marker (make-marker) start)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5465 end (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5466 (goto-char here)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5467 (verilog-end-of-statement)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5468 (setq e (point)) ;Might be on last line
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5469 (verilog-forward-syntactic-ws)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5470 (while (looking-at verilog-declaration-re)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5471 ;;(beginning-of-line)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5472 (verilog-end-of-statement)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5473 (setq e (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5474 (verilog-forward-syntactic-ws))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5475 e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5476 endpos (set-marker (make-marker) end)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5477 base-ind (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5478 (goto-char start)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5479 (verilog-do-indent (verilog-calculate-indent))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5480 (verilog-forward-ws&directives)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5481 (current-column))))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5482 ;; OK, start and end are set
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5483 (goto-char (marker-position startpos))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5484 (if (and (not quiet)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5485 (> (- end start) 100))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5486 (message "Lining up declarations..(please stand by)"))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5487 ;; Get the beginning of line indent first
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5488 (while (progn (setq e (marker-position endpos))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5489 (< (point) e))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5490 (cond
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5491 ((save-excursion (skip-chars-backward " \t")
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5492 (bolp))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5493 (verilog-forward-ws&directives)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5494 (indent-line-to base-ind)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5495 (verilog-forward-ws&directives)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5496 (if (< (point) e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5497 (verilog-re-search-forward "[ \t\n\f]" e 'move)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5498 (t
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5499 (just-one-space)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5500 (verilog-re-search-forward "[ \t\n\f]" e 'move)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5501 ;;(forward-line)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5502 )
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5503 ;; Now find biggest prefix
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5504 (setq ind (verilog-get-lineup-indent (marker-position startpos) endpos))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5505 ;; Now indent each line.
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5506 (goto-char (marker-position startpos))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5507 (while (progn (setq e (marker-position endpos))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5508 (setq r (- e (point)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5509 (> r 0))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5510 (setq e (point))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5511 (unless quiet (message "%d" r))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5512 (verilog-indent-line)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5513 (verilog-forward-ws&directives)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5514 (cond
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5515 ((or (and verilog-indent-declaration-macros
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5516 (looking-at verilog-declaration-re-2-macro))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5517 (looking-at verilog-declaration-re-2-no-macro))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5518 (let ((p (match-end 0)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5519 (set-marker m1 p)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5520 (if (verilog-re-search-forward "[[#`]" p 'move)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5521 (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5522 (forward-char -1)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5523 (just-one-space)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5524 (goto-char (marker-position m1))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5525 (just-one-space)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5526 (indent-to ind))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5527 (progn
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5528 (just-one-space)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5529 (indent-to ind)))))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5530 ((verilog-continued-line-1 (marker-position startpos))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5531 (goto-char e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5532 (indent-line-to ind))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5533 ((verilog-in-struct-p)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5534 ;; could have a declaration of a user defined item
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5535 (goto-char e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5536 (verilog-end-of-statement))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5537 (t ; Must be comment or white space
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5538 (goto-char e)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5539 (verilog-forward-ws&directives)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5540 (forward-line -1)))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5541 (forward-line 1))
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5542 (unless quiet (message "")))))))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5543
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5544 (defun verilog-pretty-expr (&optional quiet myre)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5545 "Line up expressions around point, optionally QUIET with regexp MYRE."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5546 (interactive "sRegular Expression: ((<|:)?=) ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5547 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5548 (if (or (eq myre nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5549 (string-equal myre ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5550 (setq myre "\\(<\\|:\\)?="))
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5551 ;; want to match the first <= | := | =
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5552 (setq myre (concat "\\(^.*?\\)\\(" myre "\\)"))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5553 (let ((rexp(concat "^\\s-*" verilog-complete-reg)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5554 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5555 (if (and (not (looking-at rexp ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5556 (looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5557 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5558 (goto-char (match-beginning 2))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5559 (not (verilog-in-comment-or-string-p))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5560 (let* ((here (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5561 (e) (r)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5562 (start
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5563 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5564 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5565 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5566 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5567 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5568 (while (and (not (looking-at rexp ))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5569 (looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5570 (not (bobp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5571 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5572 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5573 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5574 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5575 ) ;Ack, need to grok `define
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5576 e))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5577 (end
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5578 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5579 (goto-char here)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5580 (end-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5581 (setq e (point)) ;Might be on last line
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5582 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5583 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5584 (while (and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5585 (not (looking-at rexp ))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5586 (looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5587 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5588 (end-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5589 (not (eq e (point)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5590 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5591 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5592 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5593 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5594 e))
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5595 (endpos (set-marker (make-marker) end))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5596 (ind)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5597 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5598 (goto-char start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5599 (verilog-do-indent (verilog-calculate-indent))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5600 (if (and (not quiet)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5601 (> (- end start) 100))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5602 (message "Lining up expressions..(please stand by)"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5603
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5604 ;; Set indent to minimum throughout region
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5605 (while (< (point) (marker-position endpos))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5606 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5607 (verilog-just-one-space myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5608 (end-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5609 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5610 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5611
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5612 ;; Now find biggest prefix
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5613 (setq ind (verilog-get-lineup-indent-2 myre start endpos))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5614
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5615 ;; Now indent each line.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5616 (goto-char start)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5617 (while (progn (setq e (marker-position endpos))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5618 (setq r (- e (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5619 (> r 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5620 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5621 (if (not quiet) (message "%d" r))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5622 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5623 ((looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5624 (goto-char (match-beginning 2))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
5625 (if (not (verilog-parenthesis-depth)) ;; ignore parenthesized exprs
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5626 (if (eq (char-after) ?=)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5627 (indent-to (1+ ind)) ; line up the = of the <= with surrounding =
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5628 (indent-to ind)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5629 )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5630 ((verilog-continued-line-1 start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5631 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5632 (indent-line-to ind))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5633 (t ; Must be comment or white space
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5634 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5635 (verilog-forward-ws&directives)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5636 (forward-line -1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5637 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5638 (forward-line 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5639 (unless quiet (message ""))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5640 )))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5641
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5642 (defun verilog-just-one-space (myre)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5643 "Remove extra spaces around regular expression MYRE."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5644 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5645 (if (and (not(looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5646 (looking-at myre))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5647 (let ((p1 (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5648 (p2 (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5649 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5650 (goto-char p2)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5651 (if (looking-at "\\s-") (just-one-space))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5652 (goto-char p1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5653 (forward-char -1)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5654 (if (looking-at "\\s-") (just-one-space))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5655 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5656
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5657 (defun verilog-indent-declaration (baseind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5658 "Indent current lines as declaration.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5659 Line up the variable names based on previous declaration's indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5660 BASEIND is the base indent to offset everything."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5661 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5662 (let ((pos (point-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5663 (lim (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5664 ;; (verilog-re-search-backward verilog-declaration-opener nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5665 (verilog-re-search-backward "\\(\\<begin\\>\\)\\|\\(\\<module\\>\\)\\|\\(\\<task\\>\\)" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5666 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5667 (ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5668 (val)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5669 (m1 (make-marker)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5670 (setq val
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5671 (+ baseind (eval (cdr (assoc 'declaration verilog-indent-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5672 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5673
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5674 ;; Use previous declaration (in this module) as template.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5675 (if (or (eq 'all verilog-auto-lineup)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5676 (eq 'declarations verilog-auto-lineup))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
5677 (if (verilog-re-search-backward
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5678 (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5679 verilog-declaration-re-1-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5680 verilog-declaration-re-1-no-macro) lim t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5681 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5682 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5683 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5684 (setq ind (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5685 (goto-char pos)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5686 (setq val
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5687 (+ baseind
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5688 (eval (cdr (assoc 'declaration verilog-indent-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5689 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5690 (if (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5691 (looking-at verilog-declaration-re-2-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5692 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5693 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5694 (if (verilog-re-search-forward "[[#`]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5695 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5696 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5697 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5698 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5699 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5700 (indent-to ind))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5701 (if (/= (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5702 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5703 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5704 (indent-to ind)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5705 (if (looking-at verilog-declaration-re-2-no-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5706 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5707 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5708 (if (verilog-re-search-forward "[[`#]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5709 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5710 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5711 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5712 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5713 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5714 (indent-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5715 (if (/= (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5716 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5717 (just-one-space)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5718 (indent-to ind))))))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5719 (goto-char pos)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5720
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5721 (defun verilog-get-lineup-indent (b edpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5722 "Return the indent level that will line up several lines within the region.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5723 Region is defined by B and EDPOS."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5724 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5725 (let ((ind 0) e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5726 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5727 ;; Get rightmost position
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5728 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5729 (< (point) e))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
5730 (if (verilog-re-search-forward
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5731 (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5732 verilog-declaration-re-1-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5733 verilog-declaration-re-1-no-macro) e 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5734 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5735 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5736 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5737 (if (> (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5738 (setq ind (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5739 (goto-char (match-end 0)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5740 (if (> ind 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5741 (1+ ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5742 ;; No lineup-string found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5743 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5744 (end-of-line)
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5745 (verilog-backward-syntactic-ws)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5746 ;;(skip-chars-backward " \t")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5747 (1+ (current-column))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5748
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5749 (defun verilog-get-lineup-indent-2 (myre b edpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5750 "Return the indent level that will line up several lines within the region."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5751 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5752 (let ((ind 0) e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5753 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5754 ;; Get rightmost position
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5755 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5756 (< (point) e))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5757 (if (and (verilog-re-search-forward myre e 'move)
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
5758 (not (verilog-parenthesis-depth))) ;; skip parenthesized exprs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5759 (progn
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5760 (goto-char (match-beginning 2))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5761 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5762 (if (> (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5763 (setq ind (current-column)))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5764 (goto-char (match-end 0)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5765 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5766 (if (> ind 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5767 (1+ ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5768 ;; No lineup-string found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5769 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5770 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5771 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5772 (1+ (current-column))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5773
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5774 (defun verilog-comment-depth (type val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5775 "A useful mode debugging aide. TYPE and VAL are comments for insertion."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5776 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5777 (let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5778 ((b (prog2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5779 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5780 (point-marker)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5781 (end-of-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5782 (e (point-marker)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5783 (if (re-search-backward " /\\* \[#-\]# \[a-zA-Z\]+ \[0-9\]+ ## \\*/" b t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5784 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5785 (replace-match " /* -# ## */")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5786 (end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5787 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5788 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5789 (insert " /* ## ## */"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5790 (backward-char 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5791 (insert
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5792 (format "%s %d" type val))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5793
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5794 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5795 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5796 ;; Completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5797 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5798 (defvar verilog-str nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5799 (defvar verilog-all nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5800 (defvar verilog-pred nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5801 (defvar verilog-buffer-to-use nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5802 (defvar verilog-flag nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5803 (defvar verilog-toggle-completions nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5804 "*True means \\<verilog-mode-map>\\[verilog-complete-word] should try all possible completions one by one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5805 Repeated use of \\[verilog-complete-word] will show you all of them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5806 Normally, when there is more than one possible completion,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5807 it displays a list of all possible completions.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5808
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5810 (defvar verilog-type-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5811 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5812 "and" "buf" "bufif0" "bufif1" "cmos" "defparam" "inout" "input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5813 "integer" "localparam" "logic" "mailbox" "nand" "nmos" "nor" "not" "notif0"
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
5814 "notif1" "or" "output" "parameter" "pmos" "pull0" "pull1" "pulldown" "pullup"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5815 "rcmos" "real" "realtime" "reg" "rnmos" "rpmos" "rtran" "rtranif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5816 "rtranif1" "semaphore" "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5817 "triand" "trior" "trireg" "wand" "wire" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5818 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5819 "*Keywords for types used when completing a word in a declaration or parmlist.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5820 \(integer, real, reg...)")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5821
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5822 (defvar verilog-cpp-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5823 '("module" "macromodule" "primitive" "timescale" "define" "ifdef" "ifndef" "else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5824 "endif")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5825 "*Keywords to complete when at first word of a line in declarative scope.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5826 \(initial, always, begin, assign...)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5827 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5828 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5829
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5830 (defvar verilog-defun-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5831 (append
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5832 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5833 "always" "always_comb" "always_ff" "always_latch" "assign"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5834 "begin" "end" "generate" "endgenerate" "module" "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5835 "specify" "endspecify" "function" "endfunction" "initial" "final"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5836 "task" "endtask" "primitive" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5837 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5838 verilog-type-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5839 "*Keywords to complete when at first word of a line in declarative scope.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5840 \(initial, always, begin, assign...)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5841 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5842 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5843
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5844 (defvar verilog-block-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5845 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5846 "begin" "break" "case" "continue" "else" "end" "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5847 "endgenerate" "endinterface" "endpackage" "endspecify" "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5848 "for" "fork" "if" "join" "join_any" "join_none" "repeat" "return"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5849 "while")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5850 "*Keywords to complete when at first word of a line in behavioral scope.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5851 \(begin, if, then, else, for, fork...)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5852 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5853 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5854
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5855 (defvar verilog-tf-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5856 '("begin" "break" "fork" "join" "join_any" "join_none" "case" "end" "endtask" "endfunction" "if" "else" "for" "while" "repeat")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5857 "*Keywords to complete when at first word of a line in a task or function.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5858 \(begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5859 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5860 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5861
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5862 (defvar verilog-case-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5863 '("begin" "fork" "join" "join_any" "join_none" "case" "end" "endcase" "if" "else" "for" "repeat")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5864 "*Keywords to complete when at first word of a line in case scope.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5865 \(begin, if, then, else, for, fork...)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5866 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5867 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5868
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5869 (defvar verilog-separator-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5870 '("else" "then" "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5871 "*Keywords to complete when NOT standing at the first word of a statement.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
5872 \(else, then, begin...)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5873 Variables and function names defined within the Verilog program
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5874 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5875
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5876 (defun verilog-string-diff (str1 str2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5877 "Return index of first letter where STR1 and STR2 differs."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5878 (catch 'done
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5879 (let ((diff 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5880 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5881 (if (or (> (1+ diff) (length str1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5882 (> (1+ diff) (length str2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5883 (throw 'done diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5884 (or (equal (aref str1 diff) (aref str2 diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5885 (throw 'done diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5886 (setq diff (1+ diff))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5887
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5888 ;; Calculate all possible completions for functions if argument is `function',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5889 ;; completions for procedures if argument is `procedure' or both functions and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5890 ;; procedures otherwise.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5891
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5892 (defun verilog-func-completion (type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5893 "Build regular expression for module/task/function names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5894 TYPE is 'module, 'tf for task or function, or t if unknown."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5895 (if (string= verilog-str "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5896 (setq verilog-str "[a-zA-Z_]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5897 (let ((verilog-str (concat (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5898 ((eq type 'module) "\\<\\(module\\)\\s +")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5899 ((eq type 'tf) "\\<\\(task\\|function\\)\\s +")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5900 (t "\\<\\(task\\|function\\|module\\)\\s +"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5901 "\\<\\(" verilog-str "[a-zA-Z0-9_.]*\\)\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5902 match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5903
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5904 (if (not (looking-at verilog-defun-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5905 (verilog-re-search-backward verilog-defun-re nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5906 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5907
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5908 ;; Search through all reachable functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5909 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5910 (while (verilog-re-search-forward verilog-str (point-max) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5911 (progn (setq match (buffer-substring (match-beginning 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5912 (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5913 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5914 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5915 (setq verilog-all (cons match verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5916 (if (match-beginning 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5917 (goto-char (match-beginning 0)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5918
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5919 (defun verilog-get-completion-decl (end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5920 "Macro for searching through current declaration (var, type or const)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5921 for matches of `str' and adding the occurrence tp `all' through point END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5922 (let ((re (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5923 verilog-declaration-re-2-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5924 verilog-declaration-re-2-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5925 decl-end match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5926 ;; Traverse lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5927 (while (and (< (point) end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5928 (verilog-re-search-forward re end t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5929 ;; Traverse current line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5930 (setq decl-end (save-excursion (verilog-declaration-end)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5931 (while (and (verilog-re-search-forward verilog-symbol-re decl-end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5932 (not (match-end 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5933 (setq match (buffer-substring (match-beginning 0) (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5934 (if (string-match (concat "\\<" verilog-str) match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5935 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5936 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5937 (setq verilog-all (cons match verilog-all)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5938 (forward-line 1)))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5939 verilog-all)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5940
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5941 (defun verilog-type-completion ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5942 "Calculate all possible completions for types."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5943 (let ((start (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5944 goon)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5945 ;; Search for all reachable type declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5946 (while (or (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5947 (setq goon (not goon)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5948 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5949 (if (and (< start (prog1 (save-excursion (verilog-end-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5950 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5951 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5952 (verilog-re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5953 "\\<type\\>\\|\\<\\(begin\\|function\\|procedure\\)\\>"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5954 start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5955 (not (match-end 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5956 ;; Check current type declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5957 (verilog-get-completion-decl start))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5958
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5959 (defun verilog-var-completion ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5960 "Calculate all possible completions for variables (or constants)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5961 (let ((start (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5962 ;; Search for all reachable var declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5963 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5964 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5965 ;; Check var declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5966 (verilog-get-completion-decl start))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5967
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5968 (defun verilog-keyword-completion (keyword-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5969 "Give list of all possible completions of keywords in KEYWORD-LIST."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5970 (mapcar '(lambda (s)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5971 (if (string-match (concat "\\<" verilog-str) s)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5972 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5973 (funcall verilog-pred s))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5974 (setq verilog-all (cons s verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5975 keyword-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5976
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5977
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5978 (defun verilog-completion (verilog-str verilog-pred verilog-flag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5979 "Function passed to `completing-read', `try-completion' or `all-completions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5980 Called to get completion on VERILOG-STR. If VERILOG-PRED is non-nil, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5981 must be a function to be called for every match to check if this should
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5982 really be a match. If VERILOG-FLAG is t, the function returns a list of
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5983 all possible completions. If VERILOG-FLAG is nil it returns a string,
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5984 the longest possible completion, or t if VERILOG-STR is an exact match.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5985 If VERILOG-FLAG is 'lambda, the function returns t if VERILOG-STR is an
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5986 exact match, nil otherwise."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5987 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5988 (let ((verilog-all nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5989 ;; Set buffer to use for searching labels. This should be set
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5990 ;; within functions which use verilog-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5991 (set-buffer verilog-buffer-to-use)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5992
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5993 ;; Determine what should be completed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5994 (let ((state (car (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5995 (cond ((eq state 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5996 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5997 (verilog-func-completion 'module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5998 (verilog-keyword-completion verilog-defun-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5999
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6000 ((eq state 'behavioral)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6001 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6002 (verilog-func-completion 'module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6003 (verilog-keyword-completion verilog-defun-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6004
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6005 ((eq state 'block)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6006 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6007 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6008 (verilog-keyword-completion verilog-block-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6009
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6010 ((eq state 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6011 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6012 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6013 (verilog-keyword-completion verilog-case-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6014
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6015 ((eq state 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6016 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6017 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6018 (verilog-keyword-completion verilog-tf-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6019
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6020 ((eq state 'cpp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6021 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6022 (verilog-keyword-completion verilog-cpp-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6023
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6024 ((eq state 'cparenexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6025 (save-excursion (verilog-var-completion)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6026
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6027 (t;--Anywhere else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6028 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6029 (verilog-func-completion 'both)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6030 (verilog-keyword-completion verilog-separator-keywords))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6031
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6032 ;; Now we have built a list of all matches. Give response to caller
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6033 (verilog-completion-response))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6034
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6035 (defun verilog-completion-response ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6036 (cond ((or (equal verilog-flag 'lambda) (null verilog-flag))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6037 ;; This was not called by all-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6038 (if (null verilog-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6039 ;; Return nil if there was no matching label
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6040 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6041 ;; Get longest string common in the labels
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6042 (let* ((elm (cdr verilog-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6043 (match (car verilog-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6044 (min (length match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6045 tmp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6046 (if (string= match verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6047 ;; Return t if first match was an exact match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6048 (setq match t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6049 (while (not (null elm))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6050 ;; Find longest common string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6051 (if (< (setq tmp (verilog-string-diff match (car elm))) min)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6052 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6053 (setq min tmp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6054 (setq match (substring match 0 min))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6055 ;; Terminate with match=t if this is an exact match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6056 (if (string= (car elm) verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6057 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6058 (setq match t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6059 (setq elm nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6060 (setq elm (cdr elm)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6061 ;; If this is a test just for exact match, return nil ot t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6062 (if (and (equal verilog-flag 'lambda) (not (equal match 't)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6063 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6064 match))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6065 ;; If flag is t, this was called by all-completions. Return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6066 ;; list of all possible completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6067 (verilog-flag
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6068 verilog-all)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6069
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6070 (defvar verilog-last-word-numb 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6071 (defvar verilog-last-word-shown nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6072 (defvar verilog-last-completions nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6073
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6074 (defun verilog-complete-word ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6075 "Complete word at current point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6076 \(See also `verilog-toggle-completions', `verilog-type-keywords',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6077 and `verilog-separator-keywords'.)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6078 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6079 (let* ((b (save-excursion (skip-chars-backward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6080 (e (save-excursion (skip-chars-forward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6081 (verilog-str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6082 ;; The following variable is used in verilog-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6083 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6084 (allcomp (if (and verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6085 (string= verilog-last-word-shown verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6086 verilog-last-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6087 (all-completions verilog-str 'verilog-completion)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6088 (match (if verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6089 "" (try-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6090 verilog-str (mapcar '(lambda (elm)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6091 (cons elm 0)) allcomp)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6092 ;; Delete old string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6093 (delete-region b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6094
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6095 ;; Toggle-completions inserts whole labels
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6096 (if verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6097 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6098 ;; Update entry number in list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6099 (setq verilog-last-completions allcomp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6100 verilog-last-word-numb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6101 (if (>= verilog-last-word-numb (1- (length allcomp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6102 0
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6103 (1+ verilog-last-word-numb)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6104 (setq verilog-last-word-shown (elt allcomp verilog-last-word-numb))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6105 ;; Display next match or same string if no match was found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6106 (if (not (null allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6107 (insert "" verilog-last-word-shown)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6108 (insert "" verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6109 (message "(No match)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6110 ;; The other form of completion does not necessarily do that.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6111
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6112 ;; Insert match if found, or the original string if no match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6113 (if (or (null match) (equal match 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6114 (progn (insert "" verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6115 (message "(No match)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6116 (insert "" match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6117 ;; Give message about current status of completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6118 (cond ((equal match 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6119 (if (not (null (cdr allcomp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6120 (message "(Complete but not unique)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6121 (message "(Sole completion)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6122 ;; Display buffer if the current completion didn't help
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6123 ;; on completing the label.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6124 ((and (not (null (cdr allcomp))) (= (length verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6125 (length match)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6126 (with-output-to-temp-buffer "*Completions*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6127 (display-completion-list allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6128 ;; Wait for a key press. Then delete *Completion* window
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6129 (momentary-string-display "" (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6130 (delete-window (get-buffer-window (get-buffer "*Completions*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6131 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6132
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6133 (defun verilog-show-completions ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6134 "Show all possible completions at current point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6135 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6136 (let* ((b (save-excursion (skip-chars-backward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6137 (e (save-excursion (skip-chars-forward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6138 (verilog-str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6139 ;; The following variable is used in verilog-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6140 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6141 (allcomp (if (and verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6142 (string= verilog-last-word-shown verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6143 verilog-last-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6144 (all-completions verilog-str 'verilog-completion))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6145 ;; Show possible completions in a temporary buffer.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6146 (with-output-to-temp-buffer "*Completions*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6147 (display-completion-list allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6148 ;; Wait for a key press. Then delete *Completion* window
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6149 (momentary-string-display "" (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6150 (delete-window (get-buffer-window (get-buffer "*Completions*")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6151
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6152
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6153 (defun verilog-get-default-symbol ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6154 "Return symbol around current point as a string."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6155 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6156 (buffer-substring (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6157 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6158 (skip-chars-backward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6159 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6160 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6161 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6162 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6163
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6164 (defun verilog-build-defun-re (str &optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6165 "Return function/task/module starting with STR as regular expression.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6166 With optional second ARG non-nil, STR is the complete name of the instruction."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6167 (if arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6168 (concat "^\\(function\\|task\\|module\\)[ \t]+\\(" str "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6169 (concat "^\\(function\\|task\\|module\\)[ \t]+\\(" str "[a-zA-Z0-9_]*\\)\\>")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6170
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6171 (defun verilog-comp-defun (verilog-str verilog-pred verilog-flag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6172 "Function passed to `completing-read', `try-completion' or `all-completions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6173 Returns a completion on any function name based on VERILOG-STR prefix. If
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6174 VERILOG-PRED is non-nil, it must be a function to be called for every match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6175 to check if this should really be a match. If VERILOG-FLAG is t, the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6176 function returns a list of all possible completions. If it is nil it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6177 returns a string, the longest possible completion, or t if VERILOG-STR is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6178 an exact match. If VERILOG-FLAG is 'lambda, the function returns t if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6179 VERILOG-STR is an exact match, nil otherwise."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6180 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6181 (let ((verilog-all nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6182 match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6183
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6184 ;; Set buffer to use for searching labels. This should be set
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6185 ;; within functions which use verilog-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6186 (set-buffer verilog-buffer-to-use)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6187
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6188 (let ((verilog-str verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6189 ;; Build regular expression for functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6190 (if (string= verilog-str "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6191 (setq verilog-str (verilog-build-defun-re "[a-zA-Z_]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6192 (setq verilog-str (verilog-build-defun-re verilog-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6193 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6194
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6195 ;; Build a list of all possible completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6196 (while (verilog-re-search-forward verilog-str nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6197 (setq match (buffer-substring (match-beginning 2) (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6198 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6199 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6200 (setq verilog-all (cons match verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6201
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6202 ;; Now we have built a list of all matches. Give response to caller
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6203 (verilog-completion-response))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6204
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6205 (defun verilog-goto-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6206 "Move to specified Verilog module/task/function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6207 The default is a name found in the buffer around point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6208 If search fails, other files are checked based on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6209 `verilog-library-flags'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6210 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6211 (let* ((default (verilog-get-default-symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6212 ;; The following variable is used in verilog-comp-function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6213 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6214 (label (if (not (string= default ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6215 ;; Do completion with default
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6216 (completing-read (concat "Goto-Label: (default "
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6217 default ") ")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6218 'verilog-comp-defun nil nil "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6219 ;; There is no default value. Complete without it
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6220 (completing-read "Goto-Label: "
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6221 'verilog-comp-defun nil nil "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6222 pt)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6223 ;; Make sure library paths are correct, in case need to resolve module
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6224 (verilog-auto-reeval-locals)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6225 (verilog-getopt-flags)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6226 ;; If there was no response on prompt, use default value
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6227 (if (string= label "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6228 (setq label default))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6229 ;; Goto right place in buffer if label is not an empty string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6230 (or (string= label "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6231 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6232 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6233 (goto-char (point-min))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6234 (setq pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6235 (re-search-forward (verilog-build-defun-re label t) nil t)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6236 (when pt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6237 (goto-char pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6238 (beginning-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6239 pt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6240 (verilog-goto-defun-file label))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6241
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6242 ;; Eliminate compile warning
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
6243 (defvar occur-pos-list)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6244
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6245 (defun verilog-showscopes ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6246 "List all scopes in this module."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6247 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6248 (let ((buffer (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6249 (linenum 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6250 (nlines 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6251 (first 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6252 (prevpos (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6253 (final-context-start (make-marker))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6254 (regexp "\\(module\\s-+\\w+\\s-*(\\)\\|\\(\\w+\\s-+\\w+\\s-*(\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6255 (with-output-to-temp-buffer "*Occur*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6256 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6257 (message (format "Searching for %s ..." regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6258 ;; Find next match, but give up if prev match was at end of buffer.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6259 (while (and (not (= prevpos (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6260 (verilog-re-search-forward regexp nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6261 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6262 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6263 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6264 (setq linenum (+ linenum (count-lines prevpos (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6265 (setq prevpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6266 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6267 (let* ((start (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6268 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6269 (forward-line (if (< nlines 0) nlines (- nlines)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6270 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6271 (end (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6272 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6273 (if (> nlines 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6274 (forward-line (1+ nlines))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6275 (forward-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6276 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6277 (tag (format "%3d" linenum))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6278 (empty (make-string (length tag) ?\ ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6279 tem)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6280 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6281 (setq tem (make-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6282 (set-marker tem (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6283 (set-buffer standard-output)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6284 (setq occur-pos-list (cons tem occur-pos-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6285 (or first (zerop nlines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6286 (insert "--------\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6287 (setq first nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6288 (insert-buffer-substring buffer start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6289 (backward-char (- end start))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6290 (setq tem (if (< nlines 0) (- nlines) nlines))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6291 (while (> tem 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6292 (insert empty ?:)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6293 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6294 (setq tem (1- tem)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6295 (let ((this-linenum linenum))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6296 (set-marker final-context-start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6297 (+ (point) (- (match-end 0) (match-beginning 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6298 (while (< (point) final-context-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6299 (if (null tag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6300 (setq tag (format "%3d" this-linenum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6301 (insert tag ?:)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6302 (set-buffer-modified-p nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6303
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6304
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6305 ;; Highlight helper functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6306 (defconst verilog-directive-regexp "\\(translate\\|coverage\\|lint\\)_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6307 (defun verilog-within-translate-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6308 "Return point if within translate-off region, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6309 (and (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6310 (re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6311 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "\\(on\\|off\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6312 nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6313 (equal "off" (match-string 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6314 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6315
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6316 (defun verilog-start-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6317 "Return point before translate-off directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6318 (when (re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6319 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "off\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6320 limit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6321 (match-beginning 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6322
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6323 (defun verilog-back-to-start-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6324 "Return point before translate-off directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6325 (when (re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6326 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "off\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6327 limit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6328 (match-beginning 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6329
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6330 (defun verilog-end-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6331 "Return point after translate-on directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6332
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6333 (re-search-forward (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6334 "//\\s-*.*\\s-*" verilog-directive-regexp "on\\>") limit t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6335
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6336 (defun verilog-match-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6337 "Match a translate-off block, setting `match-data' and returning t, else nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6338 Bound search by LIMIT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6339 (when (< (point) limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6340 (let ((start (or (verilog-within-translate-off)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6341 (verilog-start-translate-off limit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6342 (case-fold-search t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6343 (when start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6344 (let ((end (or (verilog-end-translate-off limit) limit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6345 (set-match-data (list start end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6346 (goto-char end))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6347
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6348 (defun verilog-font-lock-match-item (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6349 "Match, and move over, any declaration item after point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6350 Bound search by LIMIT. Adapted from
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6351 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6352 (condition-case nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6353 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6354 (narrow-to-region (point-min) limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6355 ;; match item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6356 (when (looking-at "\\s-*\\([a-zA-Z]\\w*\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6357 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6358 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6359 ;; move to next item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6360 (if (looking-at "\\(\\s-*,\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6361 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6362 (end-of-line) t))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6363 (error nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6364
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6365
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6366 ;; Added by Subbu Meiyappan for Header
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6367
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6368 (defun verilog-header ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6369 "Insert a standard Verilog file header.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6370 See also `verilog-sk-header' for an alternative format."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6371 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6372 (let ((start (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6373 (insert "\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6374 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6375 // Title : <title>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6376 // Project : <project>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6377 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6378 // File : <filename>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6379 // Author : <author>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6380 // Created : <credate>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6381 // Last modified : <moddate>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6382 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6383 // Description :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6384 // <description>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6385 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6386 // Copyright (c) <copydate> by <company> This model is the confidential and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6387 // proprietary property of <company> and the possession or use of this
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6388 // file requires a written license from <company>.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6389 //------------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6390 // Modification history :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6391 // <modhist>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6392 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6393
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6394 ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6395 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6396 (search-forward "<filename>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6397 (replace-match (buffer-name) t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6398 (search-forward "<author>") (replace-match "" t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6399 (insert (user-full-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6400 (insert " <" (user-login-name) "@" (system-name) ">")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6401 (search-forward "<credate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6402 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6403 (search-forward "<moddate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6404 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6405 (search-forward "<copydate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6406 (verilog-insert-year)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6407 (search-forward "<modhist>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6408 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6409 (insert " : created")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6410 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6411 (let (string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6412 (setq string (read-string "title: "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6413 (search-forward "<title>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6414 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6415 (setq string (read-string "project: " verilog-project))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6416 (setq verilog-project string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6417 (search-forward "<project>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6418 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6419 (setq string (read-string "Company: " verilog-company))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6420 (setq verilog-company string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6421 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6422 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6423 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6424 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6425 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6426 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6427 (search-backward "<description>")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6428 (replace-match "" t t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6429
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6430 ;; verilog-header Uses the verilog-insert-date function
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6431
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6432 (defun verilog-insert-date ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6433 "Insert date from the system."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6434 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6435 (if verilog-date-scientific-format
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6436 (insert (format-time-string "%Y/%m/%d"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6437 (insert (format-time-string "%d.%m.%Y"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6438
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6439 (defun verilog-insert-year ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6440 "Insert year from the system."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6441 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6442 (insert (format-time-string "%Y")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6443
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6444
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6445 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6446 ;; Signal list parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6447 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6448
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6449 ;; Elements of a signal list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6450 (defsubst verilog-sig-name (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6451 (car sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6452 (defsubst verilog-sig-bits (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6453 (nth 1 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6454 (defsubst verilog-sig-comment (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6455 (nth 2 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6456 (defsubst verilog-sig-memory (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6457 (nth 3 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6458 (defsubst verilog-sig-enum (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6459 (nth 4 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6460 (defsubst verilog-sig-signed (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6461 (nth 5 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6462 (defsubst verilog-sig-type (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6463 (nth 6 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6464 (defsubst verilog-sig-multidim (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6465 (nth 7 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6466 (defsubst verilog-sig-multidim-string (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6467 (if (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6468 (let ((str "") (args (verilog-sig-multidim sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6469 (while args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6470 (setq str (concat str (car args)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6471 (setq args (cdr args)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6472 str)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6473 (defsubst verilog-sig-modport (sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6474 (nth 8 sig))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6475 (defsubst verilog-sig-width (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6476 (verilog-make-width-expression (verilog-sig-bits sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6477
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6478 (defsubst verilog-alw-get-inputs (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6479 (nth 2 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6480 (defsubst verilog-alw-get-outputs (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6481 (nth 0 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6482 (defsubst verilog-alw-get-uses-delayed (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6483 (nth 3 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6484
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6485 (defun verilog-signals-not-in (in-list not-list)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6486 "Return list of signals in IN-LIST that aren't also in NOT-LIST.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6487 Also remove any duplicates in IN-LIST.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6488 Signals must be in standard (base vector) form."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6489 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6490 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6491 (if (not (or (assoc (car (car in-list)) not-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6492 (assoc (car (car in-list)) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6493 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6494 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6495 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6496 ;;(verilog-signals-not-in '(("A" "") ("B" "") ("DEL" "[2:3]")) '(("DEL" "") ("EXT" "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6497
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6498 (defun verilog-signals-in (in-list other-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6499 "Return list of signals in IN-LIST that are also in OTHER-LIST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6500 Signals must be in standard (base vector) form."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6501 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6502 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6503 (if (assoc (car (car in-list)) other-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6504 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6505 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6506 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6507 ;;(verilog-signals-in '(("A" "") ("B" "") ("DEL" "[2:3]")) '(("DEL" "") ("EXT" "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6508
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6509 (defun verilog-signals-memory (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6510 "Return list of signals in IN-LIST that are memoried (multidimensional)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6511 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6512 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6513 (if (nth 3 (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6514 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6515 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6516 out-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6517 ;;(verilog-signals-memory '(("A" nil nil "[3:0]")) '(("B" nil nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6518
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6519 (defun verilog-signals-sort-compare (a b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6520 "Compare signal A and B for sorting."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6521 (string< (car a) (car b)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6522
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6523 (defun verilog-signals-not-params (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6524 "Return list of signals in IN-LIST that aren't parameters or numeric constants."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6525 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6526 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6527 (unless (boundp (intern (concat "vh-" (car (car in-list)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6528 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6529 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6530 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6531
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6532 (defun verilog-signals-combine-bus (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6533 "Return a list of signals in IN-LIST, with busses combined.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6534 Duplicate signals are also removed. For example A[2] and A[1] become A[2:1]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6535 (let (combo buswarn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6536 out-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6537 sig highbit lowbit ; Temp information about current signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6538 sv-name sv-highbit sv-lowbit ; Details about signal we are forming
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6539 sv-comment sv-memory sv-enum sv-signed sv-type sv-multidim sv-busstring
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6540 sv-modport
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6541 bus)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6542 ;; Shove signals so duplicated signals will be adjacent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6543 (setq in-list (sort in-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6544 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6545 (setq sig (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6546 ;; No current signal; form from existing details
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6547 (unless sv-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6548 (setq sv-name (verilog-sig-name sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6549 sv-highbit nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6550 sv-busstring nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6551 sv-comment (verilog-sig-comment sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6552 sv-memory (verilog-sig-memory sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6553 sv-enum (verilog-sig-enum sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6554 sv-signed (verilog-sig-signed sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6555 sv-type (verilog-sig-type sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6556 sv-multidim (verilog-sig-multidim sig)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6557 sv-modport (verilog-sig-modport sig)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6558 combo ""
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6559 buswarn ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6560 ;; Extract bus details
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6561 (setq bus (verilog-sig-bits sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6562 (cond ((and bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6563 (or (and (string-match "\\[\\([0-9]+\\):\\([0-9]+\\)\\]" bus)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6564 (setq highbit (string-to-number (match-string 1 bus))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6565 lowbit (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6566 (match-string 2 bus))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6567 (and (string-match "\\[\\([0-9]+\\)\\]" bus)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6568 (setq highbit (string-to-number (match-string 1 bus))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6569 lowbit highbit))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6570 ;; Combine bits in bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6571 (if sv-highbit
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6572 (setq sv-highbit (max highbit sv-highbit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6573 sv-lowbit (min lowbit sv-lowbit))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6574 (setq sv-highbit highbit
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6575 sv-lowbit lowbit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6576 (bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6577 ;; String, probably something like `preproc:0
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6578 (setq sv-busstring bus)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6579 ;; Peek ahead to next signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6580 (setq in-list (cdr in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6581 (setq sig (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6582 (cond ((and sig (equal sv-name (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6583 ;; Combine with this signal
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6584 (when (and sv-busstring
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6585 (not (equal sv-busstring (verilog-sig-bits sig))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6586 (when nil ;; Debugging
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6587 (message (concat "Warning, can't merge into single bus "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6588 sv-name bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6589 ", the AUTOs may be wrong")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6590 (setq buswarn ", Couldn't Merge"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6591 (if (verilog-sig-comment sig) (setq combo ", ..."))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6592 (setq sv-memory (or sv-memory (verilog-sig-memory sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6593 sv-enum (or sv-enum (verilog-sig-enum sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6594 sv-signed (or sv-signed (verilog-sig-signed sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6595 sv-type (or sv-type (verilog-sig-type sig))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6596 sv-multidim (or sv-multidim (verilog-sig-multidim sig))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6597 sv-modport (or sv-modport (verilog-sig-modport sig))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6598 ;; Doesn't match next signal, add to queue, zero in prep for next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6599 ;; Note sig may also be nil for the last signal in the list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6600 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6601 (setq out-list
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6602 (cons
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6603 (list sv-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6604 (or sv-busstring
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6605 (if sv-highbit
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6606 (concat "[" (int-to-string sv-highbit) ":"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6607 (int-to-string sv-lowbit) "]")))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6608 (concat sv-comment combo buswarn)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6609 sv-memory sv-enum sv-signed sv-type sv-multidim sv-modport)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6610 out-list)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6611 sv-name nil))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6612 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6613 out-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6614
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6615 (defun verilog-sig-tieoff (sig &optional no-width)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6616 "Return tieoff expression for given SIG, with appropriate width.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6617 Ignore width if optional NO-WIDTH is set."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6618 (let* ((width (if no-width nil (verilog-sig-width sig))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6619 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6620 (if (and verilog-active-low-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6621 (string-match verilog-active-low-regexp (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6622 "~" "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6623 (cond ((not width)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6624 "0")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6625 ((string-match "^[0-9]+$" width)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6626 (concat width (if (verilog-sig-signed sig) "'sh0" "'h0")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6627 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6628 (concat "{" width "{1'b0}}"))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6629
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6630 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6631 ;; Port/Wire/Etc Reading
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6632 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6633
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6634 (defun verilog-read-inst-backward-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6635 "Internal. Move point back to beginning of inst-name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6636 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6637 (let (done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6638 (while (not done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6639 (verilog-re-search-backward-quick "\\()\\|\\b[a-zA-Z0-9`_\$]\\|\\]\\)" nil nil) ; ] isn't word boundary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6640 (cond ((looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6641 (verilog-backward-open-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6642 (t (setq done t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6643 (while (looking-at "\\]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6644 (verilog-backward-open-bracket)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6645 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|\\]\\)" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6646 (skip-chars-backward "a-zA-Z0-9`_$"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6647
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6648 (defun verilog-read-inst-module ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6649 "Return module_name when point is inside instantiation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6650 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6651 (verilog-read-inst-backward-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6652 ;; Skip over instantiation name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6653 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|)\\)" nil nil) ; ) isn't word boundary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6654 ;; Check for parameterized instantiations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6655 (when (looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6656 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6657 (verilog-re-search-backward-quick "\\b[a-zA-Z0-9`_\$]" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6658 (skip-chars-backward "a-zA-Z0-9'_$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6659 (looking-at "[a-zA-Z0-9`_\$]+")
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
6660 ;; Important: don't use match string, this must work with Emacs 19 font-lock on
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6661 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6663 (defun verilog-read-inst-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6664 "Return instance_name when point is inside instantiation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6665 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6666 (verilog-read-inst-backward-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6667 (looking-at "[a-zA-Z0-9`_\$]+")
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
6668 ;; Important: don't use match string, this must work with Emacs 19 font-lock on
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6669 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6670
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6671 (defun verilog-read-module-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6672 "Return module name when after its ( or ;."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6673 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6674 (re-search-backward "[(;]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6675 (verilog-re-search-backward-quick "\\b[a-zA-Z0-9`_\$]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6676 (skip-chars-backward "a-zA-Z0-9`_$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6677 (looking-at "[a-zA-Z0-9`_\$]+")
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
6678 ;; Important: don't use match string, this must work with Emacs 19 font-lock on
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6679 (verilog-symbol-detick
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6680 (buffer-substring-no-properties (match-beginning 0) (match-end 0)) t)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6681
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6682 (defun verilog-read-inst-param-value ()
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6683 "Return list of parameters and values when point is inside instantiation."
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6684 (save-excursion
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6685 (verilog-read-inst-backward-name)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6686 ;; Skip over instantiation name
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6687 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|)\\)" nil nil) ; ) isn't word boundary
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6688 ;; If there are parameterized instantiations
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6689 (when (looking-at ")")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6690 (let ((end-pt (point))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6691 params
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6692 param-name paren-beg-pt param-value)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6693 (verilog-backward-open-paren)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6694 (while (verilog-re-search-forward-quick "\\." end-pt t)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6695 (verilog-re-search-forward-quick "\\([a-zA-Z0-9`_\$]\\)" nil nil)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6696 (skip-chars-backward "a-zA-Z0-9'_$")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6697 (looking-at "[a-zA-Z0-9`_\$]+")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6698 (setq param-name (buffer-substring-no-properties
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6699 (match-beginning 0) (match-end 0)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6700 (verilog-re-search-forward-quick "(" nil nil)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6701 (setq paren-beg-pt (point))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6702 (verilog-forward-close-paren)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6703 (setq param-value (verilog-string-remove-spaces
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6704 (buffer-substring-no-properties
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6705 paren-beg-pt (1- (point)))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6706 (setq params (cons (list param-name param-value) params)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6707 params))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6708
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6709 (defun verilog-read-auto-params (num-param &optional max-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6710 "Return parameter list inside auto.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6711 Optional NUM-PARAM and MAX-PARAM check for a specific number of parameters."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6712 (let ((olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6713 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6714 ;; /*AUTOPUNT("parameter", "parameter")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6715 (search-backward "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6716 (while (looking-at "(?\\s *\"\\([^\"]*\\)\"\\s *,?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6717 (setq olist (cons (match-string 1) olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6718 (goto-char (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6719 (or (eq nil num-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6720 (<= num-param (length olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6721 (error "%s: Expected %d parameters" (verilog-point-text) num-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6722 (if (eq max-param nil) (setq max-param num-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6723 (or (eq nil max-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6724 (>= max-param (length olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6725 (error "%s: Expected <= %d parameters" (verilog-point-text) max-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6726 (nreverse olist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6727
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6728 (defun verilog-read-decls ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6729 "Compute signal declaration information for the current module at point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6730 Return a array of [outputs inouts inputs wire reg assign const]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6731 (let ((end-mod-point (or (verilog-get-end-of-defun t) (point-max)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6732 (functask 0) (paren 0) (sig-paren 0) (v2kargs-ok t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6733 sigs-in sigs-out sigs-inout sigs-wire sigs-reg sigs-assign sigs-const
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6734 sigs-gparam sigs-intf
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6735 vec expect-signal keywd newsig rvalue enum io signed typedefed multidim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6736 modport)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6737 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6738 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6739 (setq sigs-const (verilog-read-auto-constants (point) end-mod-point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6740 (while (< (point) end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6741 ;;(if dbg (setq dbg (cons (format "Pt %s Vec %s Kwd'%s'\n" (point) vec keywd) dbg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6742 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6743 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6744 (if (looking-at "[^\n]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6745 (setq enum (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6746 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6747 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6748 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6749 (if (looking-at "[^*]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6750 (setq enum (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6751 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6752 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6753 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6754 (forward-char 2)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6755 (or (looking-at "\\s-*)") ; It's an "always @ (*)"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6756 (search-forward "*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6757 (error "%s: Unmatched (* *), at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6758 ((eq ?\" (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6759 (or (re-search-forward "[^\\]\"" nil t) ;; don't forward-char first, since we look for a non backslash first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6760 (error "%s: Unmatched quotes, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6761 ((eq ?\; (following-char))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6762 (setq vec nil io nil expect-signal nil newsig nil paren 0 rvalue nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6763 v2kargs-ok nil)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6764 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6765 ((eq ?= (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6766 (setq rvalue t newsig nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6767 (forward-char 1))
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6768 ((and (eq ?, (following-char))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6769 (eq paren sig-paren))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6770 (setq rvalue nil)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6771 (forward-char 1))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6772 ;; ,'s can occur inside {} & funcs
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6773 ((looking-at "[{(]")
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6774 (setq paren (1+ paren))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6775 (forward-char 1))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6776 ((looking-at "[})]")
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6777 (setq paren (1- paren))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6778 (forward-char 1)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6779 (when (< paren sig-paren)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6780 (setq expect-signal nil))) ; ) that ends variables inside v2k arg list
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6781 ((looking-at "\\s-*\\(\\[[^]]+\\]\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6782 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6783 (cond (newsig ; Memory, not just width. Patch last signal added's memory (nth 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6784 (setcar (cdr (cdr (cdr newsig))) (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6785 (vec ;; Multidimensional
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6786 (setq multidim (cons vec multidim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6787 (setq vec (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6788 "\\s-+" "" nil nil (match-string 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6789 (t ;; Bit width
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6790 (setq vec (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6791 "\\s-+" "" nil nil (match-string 1))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6792 ;; Normal or escaped identifier -- note we remember the \ if escaped
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6793 ((looking-at "\\s-*\\([a-zA-Z0-9`_$]+\\|\\\\[^ \t\n\f]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6794 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6795 (setq keywd (match-string 1))
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6796 (when (string-match "^\\\\" (match-string 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6797 (setq keywd (concat keywd " "))) ;; Escaped ID needs space at end
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6798 ;; Add any :: package names to same identifier
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6799 (while (looking-at "\\s-*::\\s-*\\([a-zA-Z0-9`_$]+\\|\\\\[^ \t\n\f]+\\)")
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6800 (goto-char (match-end 0))
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6801 (setq keywd (concat keywd "::" (match-string 1)))
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6802 (when (string-match "^\\\\" (match-string 1))
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
6803 (setq keywd (concat keywd " ")))) ;; Escaped ID needs space at end
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6804 (cond ((equal keywd "input")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6805 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6806 expect-signal 'sigs-in io t modport nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6807 ((equal keywd "output")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6808 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6809 expect-signal 'sigs-out io t modport nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6810 ((equal keywd "inout")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6811 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6812 expect-signal 'sigs-inout io t modport nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6813 ((equal keywd "parameter")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6814 (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6815 expect-signal 'sigs-gparam io t modport nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6816 ((member keywd '("wire" "tri" "tri0" "tri1" "triand" "trior" "wand" "wor"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6817 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6818 expect-signal 'sigs-wire modport nil)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6819 ((member keywd '("reg" "trireg"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6820 "byte" "shortint" "int" "longint" "integer" "time"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6821 "bit" "logic"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6822 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6823 expect-signal 'sigs-reg modport nil)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6824 ((equal keywd "assign")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6825 (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6826 expect-signal 'sigs-assign modport nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6827 ((member keywd '("supply0" "supply1" "supply"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6828 "localparam" "genvar"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6829 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6830 expect-signal 'sigs-const modport nil)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6831 ((equal keywd "signed")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6832 (setq signed "signed"))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6833 ((member keywd '("class" "clocking" "covergroup" "function"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6834 "property" "randsequence" "sequence" "task"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6835 (setq functask (1+ functask)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6836 ((member keywd '("endclass" "endclocking" "endgroup" "endfunction"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6837 "endproperty" "endsequence" "endtask"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6838 (setq functask (1- functask)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6839 ;; Ifdef? Ignore name of define
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6840 ((member keywd '("`ifdef" "`ifndef"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6841 (setq rvalue t))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6842 ;; Type?
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6843 ((verilog-typedef-name-p keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6844 (setq typedefed keywd))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6845 ;; Interface with optional modport in v2k arglist?
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6846 ;; Skip over parsing modport, and take the interface name as the type
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6847 ((and v2kargs-ok
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6848 (eq paren 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6849 (looking-at "\\s-*\\(\\.\\(\\s-*[a-zA-Z0-9`_$]+\\)\\|\\)\\s-*[a-zA-Z0-9`_$]+"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6850 (when (match-end 2) (goto-char (match-end 2)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6851 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed keywd multidim nil sig-paren paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6852 expect-signal 'sigs-intf io t modport (match-string 2)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6853 ;; New signal, maybe?
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6854 ((and expect-signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6855 (eq functask 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6856 (not rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6857 (not (member keywd verilog-keywords)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6858 ;; Add new signal to expect-signal's variable
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6859 (setq newsig (list keywd vec nil nil enum signed typedefed multidim modport))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6860 (set expect-signal (cons newsig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6861 (symbol-value expect-signal))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6862 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6863 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6864 (skip-syntax-forward " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6865 ;; Return arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6866 (vector (nreverse sigs-out)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6867 (nreverse sigs-inout)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6868 (nreverse sigs-in)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6869 (nreverse sigs-wire)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6870 (nreverse sigs-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6871 (nreverse sigs-assign)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6872 (nreverse sigs-const)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6873 (nreverse sigs-gparam)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6874 (nreverse sigs-intf)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6875
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6876 (eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6877 ;; Prevent compile warnings; these are let's, not globals
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6878 ;; Do not remove the eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6879 ;; - we want a error when we are debugging this code if they are refed.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6880 (defvar sigs-in)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6881 (defvar sigs-inout)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6882 (defvar sigs-out)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6883 (defvar sigs-intf))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6884
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6885
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6886 (defsubst verilog-modi-get-decls (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6887 (verilog-modi-cache-results modi 'verilog-read-decls))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6888
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6889 (defsubst verilog-modi-get-sub-decls (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6890 (verilog-modi-cache-results modi 'verilog-read-sub-decls))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6891
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6892
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6893 ;; Signal reading for given module
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6894 ;; Note these all take modi's - as returned from the
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6895 ;; verilog-modi-current function.
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6896 (defsubst verilog-decls-get-outputs (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6897 (aref decls 0))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6898 (defsubst verilog-decls-get-inouts (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6899 (aref decls 1))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6900 (defsubst verilog-decls-get-inputs (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6901 (aref decls 2))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6902 (defsubst verilog-decls-get-wires (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6903 (aref decls 3))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6904 (defsubst verilog-decls-get-regs (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6905 (aref decls 4))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6906 (defsubst verilog-decls-get-assigns (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6907 (aref decls 5))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6908 (defsubst verilog-decls-get-consts (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6909 (aref decls 6))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6910 (defsubst verilog-decls-get-gparams (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6911 (aref decls 7))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6912 (defsubst verilog-decls-get-interfaces (decls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6913 (aref decls 8))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6914 (defsubst verilog-subdecls-get-outputs (subdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6915 (aref subdecls 0))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6916 (defsubst verilog-subdecls-get-inouts (subdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6917 (aref subdecls 1))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6918 (defsubst verilog-subdecls-get-inputs (subdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6919 (aref subdecls 2))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6920 (defsubst verilog-subdecls-get-interfaces (subdecls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6921 (aref subdecls 3))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6922
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6923
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6924 (defun verilog-read-sub-decls-sig (submoddecls comment port sig vec multidim)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6925 "For `verilog-read-sub-decls-line', add a signal."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6926 (let (portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6927 (when sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6928 (setq port (verilog-symbol-detick-denumber port))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6929 (setq sig (verilog-symbol-detick-denumber sig))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6930 (if sig (setq sig (verilog-string-replace-matches "^\\s-*[---+~!|&]+\\s-*" "" nil nil sig)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6931 (if vec (setq vec (verilog-symbol-detick-denumber vec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6932 (if multidim (setq multidim (mapcar `verilog-symbol-detick-denumber multidim)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6933 (unless (or (not sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6934 (equal sig "")) ;; Ignore .foo(1'b1) assignments
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6935 (cond ((setq portdata (assoc port (verilog-decls-get-inouts submoddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6936 (setq sigs-inout (cons (list sig vec (concat "To/From " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6937 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6938 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6939 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6940 sigs-inout)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6941 ((setq portdata (assoc port (verilog-decls-get-outputs submoddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6942 (setq sigs-out (cons (list sig vec (concat "From " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6943 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6944 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6945 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6946 sigs-out)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6947 ((setq portdata (assoc port (verilog-decls-get-inputs submoddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6948 (setq sigs-in (cons (list sig vec (concat "To " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6949 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6950 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6951 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6952 sigs-in)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6953 ((setq portdata (assoc port (verilog-decls-get-interfaces submoddecls)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6954 (setq sigs-intf (cons (list sig vec (concat "To/From " comment) nil nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6955 (verilog-sig-signed portdata)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6956 (verilog-sig-type portdata)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6957 multidim)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6958 sigs-intf)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6959 ;; (t -- warning pin isn't defined.) ; Leave for lint tool
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6960 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6961
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6962 (defun verilog-read-sub-decls-expr (submoddecls comment port expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6963 "For `verilog-read-sub-decls-line', parse a subexpression and add signals."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6964 ;;(message "vrsde: '%s'" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6965 ;; Replace special /*[....]*/ comments inserted by verilog-auto-inst-port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6966 (setq expr (verilog-string-replace-matches "/\\*\\(\\[[^*]+\\]\\)\\*/" "\\1" nil nil expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6967 ;; Remove front operators
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6968 (setq expr (verilog-string-replace-matches "^\\s-*[---+~!|&]+\\s-*" "" nil nil expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6969 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6970 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6971 ;; {..., a, b} requires us to recurse on a,b
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6972 ((string-match "^\\s-*{\\([^{}]*\\)}\\s-*$" expr)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6973 (unless verilog-auto-ignore-concat
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6974 (let ((mlst (split-string (match-string 1 expr) ","))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6975 mstr)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6976 (while (setq mstr (pop mlst))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
6977 (verilog-read-sub-decls-expr submoddecls comment port mstr)))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6978 (t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6979 (let (sig vec multidim)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6980 (cond ;; Find \signal. Final space is part of escaped signal name
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6981 ((string-match "^\\s-*\\(\\\\[^ \t\n\f]+\\s-\\)" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6982 ;;(message "vrsde-s: '%s'" (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6983 (setq sig (match-string 1 expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6984 expr (substring expr (match-end 0))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6985 ;; Find signal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6986 ((string-match "^\\s-*\\([^[({).\\]+\\)" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6987 ;;(message "vrsde-s: '%s'" (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6988 (setq sig (verilog-string-remove-spaces (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6989 expr (substring expr (match-end 0)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6990 ;; Find [vector] or [multi][multi][multi][vector]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6991 (while (string-match "^\\s-*\\(\\[[^]]+\\]\\)" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6992 ;;(message "vrsde-v: '%s'" (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6993 (when vec (setq multidim (cons vec multidim)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6994 (setq vec (match-string 1 expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6995 expr (substring expr (match-end 0))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6996 ;; If found signal, and nothing unrecognized, add the signal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6997 ;;(message "vrsde-rem: '%s'" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6998 (when (and sig (string-match "^\\s-*$" expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6999 (verilog-read-sub-decls-sig submoddecls comment port sig vec multidim))))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7000
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7001 (defun verilog-read-sub-decls-line (submoddecls comment)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7002 "For `verilog-read-sub-decls', read lines of port defs until none match anymore.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7003 Return the list of signals found, using submodi to look up each port."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7004 (let (done port)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7005 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7006 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7007 (while (not done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7008 ;; Get port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7009 (cond ((looking-at "\\s-*\\.\\s-*\\([a-zA-Z0-9`_$]*\\)\\s-*(\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7010 (setq port (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7011 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7012 ((looking-at "\\s-*\\.\\s-*\\(\\\\[^ \t\n\f]*\\)\\s-*(\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7013 (setq port (concat (match-string 1) " ")) ;; escaped id's need trailing space
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7014 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7015 ((looking-at "\\s-*\\.[^(]*(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7016 (setq port nil) ;; skip this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7017 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7018 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7019 (setq port nil done t))) ;; Unknown, ignore rest of line
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7020 ;; Get signal name. Point is at the first-non-space after (
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7021 ;; We intentionally ignore (non-escaped) signals with .s in them
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7022 ;; this prevents AUTOWIRE etc from noticing hierarchical sigs.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7023 (when port
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7024 (cond ((looking-at "\\([^[({).\\]*\\)\\s-*)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7025 (verilog-read-sub-decls-sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7026 submoddecls comment port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7027 (verilog-string-remove-spaces (match-string 1)) ; sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7028 nil nil)) ; vec multidim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7029 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7030 ((looking-at "\\([^[({).\\]*\\)\\s-*\\(\\[[^]]+\\]\\)\\s-*)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7031 (verilog-read-sub-decls-sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7032 submoddecls comment port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7033 (verilog-string-remove-spaces (match-string 1)) ; sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7034 (match-string 2) nil)) ; vec multidim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7035 ;; Fastpath was above looking-at's.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7036 ;; For something more complicated invoke a parser
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7037 ((looking-at "[^)]+")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7038 (verilog-read-sub-decls-expr
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7039 submoddecls comment port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7040 (buffer-substring
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
7041 (point) (1- (progn (search-backward "(") ; start at (
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7042 (forward-sexp 1) (point)))))))) ; expr
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7043 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7044 (forward-line 1)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7045
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7046 (defun verilog-read-sub-decls ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7047 "Internally parse signals going to modules under this module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7048 Return a array of [ outputs inouts inputs ] signals for modules that are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7049 instantiated in this module. For example if declare A A (.B(SIG)) and SIG
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7050 is a output, then SIG will be included in the list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7051
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7052 This only works on instantiations created with /*AUTOINST*/ converted by
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7053 \\[verilog-auto-inst]. Otherwise, it would have to read in the whole
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7054 component library to determine connectivity of the design.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7055
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7056 One work around for this problem is to manually create // Inputs and //
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7057 Outputs comments above subcell signals, for example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7058
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
7059 module ModuleName (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7060 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7061 .out (out),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7062 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7063 .in (in));"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7064 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7065 (let ((end-mod-point (verilog-get-end-of-defun t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7066 st-point end-inst-point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7067 ;; below 3 modified by verilog-read-sub-decls-line
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7068 sigs-out sigs-inout sigs-in sigs-intf)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7069 (verilog-beg-of-defun)
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7070 (while (verilog-re-search-forward "\\(/\\*AUTOINST\\*/\\|\\.\\*\\)" end-mod-point t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7071 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7072 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7073 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7074 ;; Attempt to snarf a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7075 (let* ((submod (verilog-read-inst-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7076 (inst (verilog-read-inst-name))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7077 (comment (concat inst " of " submod ".v"))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7078 submodi submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7079 (when (setq submodi (verilog-modi-lookup submod t))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7080 (setq submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7081 ;; This could have used a list created by verilog-auto-inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7082 ;; However I want it to be runnable even on user's manually added signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7083 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7084 (setq end-inst-point (save-excursion (forward-sexp 1) (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7085 st-point (point))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7086 (while (re-search-forward "\\s *(?\\s *// Interfaces" end-inst-point t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7087 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-out
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7088 (goto-char st-point)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7089 (while (re-search-forward "\\s *(?\\s *// Outputs" end-inst-point t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7090 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-out
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7091 (goto-char st-point)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7092 (while (re-search-forward "\\s *(?\\s *// Inouts" end-inst-point t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7093 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-inout
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7094 (goto-char st-point)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7095 (while (re-search-forward "\\s *(?\\s *// Inputs" end-inst-point t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7096 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-in
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7097 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7098 ;; Combine duplicate bits
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7099 ;;(setq rr (vector sigs-out sigs-inout sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7100 (vector (verilog-signals-combine-bus (nreverse sigs-out))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7101 (verilog-signals-combine-bus (nreverse sigs-inout))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7102 (verilog-signals-combine-bus (nreverse sigs-in))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7103 (verilog-signals-combine-bus (nreverse sigs-intf))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7104
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7105 (defun verilog-read-inst-pins ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7106 "Return an array of [ pins ] for the current instantiation at point.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7107 For example if declare A A (.B(SIG)) then B will be included in the list."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7108 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7109 (let ((end-mod-point (point)) ;; presume at /*AUTOINST*/ point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7110 pins pin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7111 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7112 (while (re-search-forward "\\.\\([^(,) \t\n\f]*\\)\\s-*" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7113 (setq pin (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7114 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7115 (setq pins (cons (list pin) pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7116 (when (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7117 (forward-sexp 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7118 (vector pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7120 (defun verilog-read-arg-pins ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7121 "Return an array of [ pins ] for the current argument declaration at point."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7122 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7123 (let ((end-mod-point (point)) ;; presume at /*AUTOARG*/ point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7124 pins pin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7125 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7126 (while (re-search-forward "\\([a-zA-Z0-9$_.%`]+\\)" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7127 (setq pin (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7128 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7129 (setq pins (cons (list pin) pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7130 (vector pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7131
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7132 (defun verilog-read-auto-constants (beg end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7133 "Return a list of AUTO_CONSTANTs used in the region from BEG to END-MOD-POINT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7134 ;; Insert new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7135 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7136 (let (sig-list tpl-end-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7137 (goto-char beg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7138 (while (re-search-forward "\\<AUTO_CONSTANT" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7139 (if (not (looking-at "\\s *("))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7140 (error "%s: Missing () after AUTO_CONSTANT" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7141 (search-forward "(" end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7142 (setq tpl-end-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7143 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7144 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7145 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7146 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7147 (while (re-search-forward "\\s-*\\([\"a-zA-Z0-9$_.%`]+\\)\\s-*,*" tpl-end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7148 (setq sig-list (cons (list (match-string 1) nil nil) sig-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7149 sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7150
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7151 (defun verilog-read-auto-lisp (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7152 "Look for and evaluate a AUTO_LISP between START and END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7153 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7154 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7155 (while (re-search-forward "\\<AUTO_LISP(" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7156 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7157 (let* ((beg-pt (prog1 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7158 (forward-sexp 1))) ;; Closing paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7159 (end-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7160 (eval-region beg-pt end-pt nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7161
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7162 (eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7163 ;; Prevent compile warnings; these are let's, not globals
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7164 ;; Do not remove the eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7165 ;; - we want a error when we are debugging this code if they are refed.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7166 (defvar sigs-in)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7167 (defvar sigs-out)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7168 (defvar got-sig)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7169 (defvar got-rvalue)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7170 (defvar uses-delayed)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7171 (defvar vector-skip-list))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7172
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7173 (defun verilog-read-always-signals-recurse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7174 (exit-keywd rvalue ignore-next)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7175 "Recursive routine for parentheses/bracket matching.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7176 EXIT-KEYWD is expression to stop at, nil if top level.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7177 RVALUE is true if at right hand side of equal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7178 IGNORE-NEXT is true to ignore next token, fake from inside case statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7179 (let* ((semi-rvalue (equal "endcase" exit-keywd)) ;; true if after a ; we are looking for rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7180 keywd last-keywd sig-tolk sig-last-tolk gotend got-sig got-rvalue end-else-check)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7181 ;;(if dbg (setq dbg (concat dbg (format "Recursion %S %S %S\n" exit-keywd rvalue ignore-next))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7182 (while (not (or (eobp) gotend))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7183 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7184 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7185 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7186 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7187 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7188 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7189 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7190 (or (looking-at "(\\*\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7191 (search-forward "*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7192 (error "%s: Unmatched (* *), at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7193 (t (setq keywd (buffer-substring-no-properties
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7194 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7195 (save-excursion (when (eq 0 (skip-chars-forward "a-zA-Z0-9$_.%`"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7196 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7197 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7198 sig-last-tolk sig-tolk
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7199 sig-tolk nil)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7200 ;;(if dbg (setq dbg (concat dbg (format "\tPt=%S %S\trv=%S in=%S ee=%S gs=%S\n" (point) keywd rvalue ignore-next end-else-check got-sig))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7201 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7202 ((equal keywd "\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7203 (or (re-search-forward "[^\\]\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7204 (error "%s: Unmatched quotes, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7205 ;; else at top level loop, keep parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7206 ((and end-else-check (equal keywd "else"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7207 ;;(if dbg (setq dbg (concat dbg (format "\tif-check-else %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7208 ;; no forward movement, want to see else in lower loop
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7209 (setq end-else-check nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7210 ;; End at top level loop
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7211 ((and end-else-check (looking-at "[^ \t\n\f]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7212 ;;(if dbg (setq dbg (concat dbg (format "\tif-check-else-other %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7213 (setq gotend t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7214 ;; Final statement?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7215 ((and exit-keywd (equal keywd exit-keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7216 (setq gotend t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7217 (forward-char (length keywd)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7218 ;; Standard tokens...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7219 ((equal keywd ";")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7220 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7221 ;; Final statement at top level loop?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7222 (when (not exit-keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7223 ;;(if dbg (setq dbg (concat dbg (format "\ttop-end-check %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7224 (setq end-else-check t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7225 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7226 ((equal keywd "'")
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7227 (if (looking-at "'[sS]?[hdxboHDXBO]?[ \t]*[0-9a-fA-F_xzXZ?]+")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7228 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7229 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7230 ((equal keywd ":") ;; Case statement, begin/end label, x?y:z
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7231 (cond ((equal "endcase" exit-keywd) ;; case x: y=z; statement next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7232 (setq ignore-next nil rvalue nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7233 ((equal "?" exit-keywd) ;; x?y:z rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7234 ) ;; NOP
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7235 ((equal "]" exit-keywd) ;; [x:y] rvalue
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7236 ) ;; NOP
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7237 (got-sig ;; label: statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7238 (setq ignore-next nil rvalue semi-rvalue got-sig nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7239 ((not rvalue) ;; begin label
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7240 (setq ignore-next t rvalue nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7241 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7242 ((equal keywd "=")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7243 (if (and (eq (char-before) ?< )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7244 (not rvalue))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7245 (setq uses-delayed 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7246 (setq ignore-next nil rvalue t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7247 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7248 ((equal keywd "?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7249 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7250 (verilog-read-always-signals-recurse ":" rvalue nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7251 ((equal keywd "[")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7252 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7253 (verilog-read-always-signals-recurse "]" t nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7254 ((equal keywd "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7255 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7256 (cond (sig-last-tolk ;; Function call; zap last signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7257 (setq got-sig nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7258 (cond ((equal last-keywd "for")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7259 (verilog-read-always-signals-recurse ";" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7260 (verilog-read-always-signals-recurse ";" t nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7261 (verilog-read-always-signals-recurse ")" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7262 (t (verilog-read-always-signals-recurse ")" t nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7263 ((equal keywd "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7264 (skip-syntax-forward "w_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7265 (verilog-read-always-signals-recurse "end" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7266 ;;(if dbg (setq dbg (concat dbg (format "\tgot-end %s\n" exit-keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7267 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7268 (if (not exit-keywd) (setq end-else-check t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7269 ((or (equal keywd "case")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7270 (equal keywd "casex")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7271 (equal keywd "casez"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7272 (skip-syntax-forward "w_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7273 (verilog-read-always-signals-recurse "endcase" t nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7274 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7275 (if (not exit-keywd) (setq gotend t))) ;; top level begin/end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7276 ((string-match "^[$`a-zA-Z_]" keywd) ;; not exactly word constituent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7277 (cond ((or (equal keywd "`ifdef")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7278 (equal keywd "`ifndef"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7279 (setq ignore-next t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7280 ((or ignore-next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7281 (member keywd verilog-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7282 (string-match "^\\$" keywd)) ;; PLI task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7283 (setq ignore-next nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7284 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7285 (setq keywd (verilog-symbol-detick-denumber keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7286 (when got-sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7287 (if got-rvalue (setq sigs-in (cons got-sig sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7288 (setq sigs-out (cons got-sig sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7289 ;;(if dbg (setq dbg (concat dbg (format "\t\tgot-sig=%S rv=%S\n" got-sig got-rvalue))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7290 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7291 (setq got-rvalue rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7292 got-sig (if (or (not keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7293 (assoc keywd (if got-rvalue sigs-in sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7294 nil (list keywd nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7295 sig-tolk t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7296 (skip-chars-forward "a-zA-Z0-9$_.%`"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7297 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7298 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7299 ;; End of non-comment token
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7300 (setq last-keywd keywd)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7301 (skip-syntax-forward " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7302 ;; Append the final pending signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7303 (when got-sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7304 (if got-rvalue (setq sigs-in (cons got-sig sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7305 (setq sigs-out (cons got-sig sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7306 ;;(if dbg (setq dbg (concat dbg (format "\t\tgot-sig=%S rv=%S\n" got-sig got-rvalue))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7307 (setq got-sig nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7308 ;;(if dbg (setq dbg (concat dbg (format "ENDRecursion %s\n" exit-keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7309 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7310
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7311 (defun verilog-read-always-signals ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7312 "Parse always block at point and return list of (outputs inout inputs)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7313 ;; Insert new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7314 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7315 (let* (;;(dbg "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7316 sigs-in sigs-out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7317 uses-delayed) ;; Found signal/rvalue; push if not function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7318 (search-forward ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7319 (verilog-read-always-signals-recurse nil nil nil)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7320 ;;(if dbg (with-current-buffer (get-buffer-create "*vl-dbg*")) (delete-region (point-min) (point-max)) (insert dbg) (setq dbg ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7321 ;; Return what was found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7322 (list sigs-out nil sigs-in uses-delayed))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7323
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7324 (defun verilog-read-instants ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7325 "Parse module at point and return list of ( ( file instance ) ... )."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7326 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7327 (let* ((end-mod-point (verilog-get-end-of-defun t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7328 (state nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7329 (instants-list nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7330 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7331 (while (< (point) end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7332 ;; Stay at level 0, no comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7333 (while (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7334 (setq state (parse-partial-sexp (point) end-mod-point 0 t nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7335 (or (> (car state) 0) ; in parens
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7336 (nth 5 state) ; comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7337 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7338 (forward-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7339 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7340 (if (looking-at "^\\s-*\\([a-zA-Z0-9`_$]+\\)\\s-+\\([a-zA-Z0-9`_$]+\\)\\s-*(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7341 ;;(if (looking-at "^\\(.+\\)$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7342 (let ((module (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7343 (instant (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7344 (if (not (member module verilog-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7345 (setq instants-list (cons (list module instant) instants-list)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7346 (forward-line 1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7347 instants-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7348
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7349
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7350 (defun verilog-read-auto-template (module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7351 "Look for a auto_template for the instantiation of the given MODULE.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7352 If found returns the signal name connections. Return REGEXP and
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7353 list of ( (signal_name connection_name)... )."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7354 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7355 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7356 (let ((tpl-regexp "\\([0-9]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7357 (lineno 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7358 (templateno 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7359 tpl-sig-list tpl-wild-list tpl-end-pt rep)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7360 (cond ((or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7361 (re-search-backward (concat "^\\s-*/?\\*?\\s-*" module "\\s-+AUTO_TEMPLATE") nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7362 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7363 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7364 (re-search-forward (concat "^\\s-*/?\\*?\\s-*" module "\\s-+AUTO_TEMPLATE") nil t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7365 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7366 ;; Parse "REGEXP"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7367 ;; We reserve @"..." for future lisp expressions that evaluate once-per-AUTOINST
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7368 (when (looking-at "\\s-*\"\\([^\"]*\\)\"")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7369 (setq tpl-regexp (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7370 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7371 (search-forward "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7372 ;; Parse lines in the template
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7373 (when verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7374 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7375 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7376 (while (search-forward "AUTO_TEMPLATE" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7377 (setq templateno (1+ templateno)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7378 (setq tpl-end-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7379 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7380 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7381 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7382 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7383 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7384 (while (< (point) tpl-end-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7385 (cond ((looking-at "\\s-*\\.\\([a-zA-Z0-9`_$]+\\)\\s-*(\\(.*\\))\\s-*\\(,\\|)\\s-*;\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7386 (setq tpl-sig-list (cons (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7387 (match-string-no-properties 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7388 (match-string-no-properties 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7389 templateno lineno)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7390 tpl-sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7391 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7392 ;; Regexp form??
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7393 ((looking-at
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
7394 ;; Regexp bug in XEmacs disallows ][ inside [], and wants + last
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7395 "\\s-*\\.\\(\\([a-zA-Z0-9`_$+@^.*?|---]+\\|[][]\\|\\\\[()|]\\)+\\)\\s-*(\\(.*\\))\\s-*\\(,\\|)\\s-*;\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7396 (setq rep (match-string-no-properties 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7397 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7398 (setq tpl-wild-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7399 (cons (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7400 (concat "^"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7401 (verilog-string-replace-matches "@" "\\\\([0-9]+\\\\)" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7402 (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7403 "$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7404 rep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7405 templateno lineno)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7406 tpl-wild-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7407 ((looking-at "[ \t\f]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7408 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7409 ((looking-at "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7410 (setq lineno (1+ lineno))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7411 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7412 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7413 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7414 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7415 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7416 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7417 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7418 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7419 (error "%s: AUTO_TEMPLATE parsing error: %s"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7420 (verilog-point-text)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7421 (progn (looking-at ".*$") (match-string 0))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7422 ;; Return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7423 (vector tpl-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7424 (list tpl-sig-list tpl-wild-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7425 ;; If no template found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7426 (t (vector tpl-regexp nil))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7427 ;;(progn (find-file "auto-template.v") (verilog-read-auto-template "ptl_entry"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7428
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7429 (defun verilog-set-define (defname defvalue &optional buffer enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7430 "Set the definition DEFNAME to the DEFVALUE in the given BUFFER.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7431 Optionally associate it with the specified enumeration ENUMNAME."
105813
df4934f25eef * textmodes/two-column.el (2C-split):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 104774
diff changeset
7432 (with-current-buffer (or buffer (current-buffer))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7433 (let ((mac (intern (concat "vh-" defname))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7434 ;;(message "Define %s=%s" defname defvalue) (sleep-for 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7435 ;; Need to define to a constant if no value given
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7436 (set (make-local-variable mac)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7437 (if (equal defvalue "") "1" defvalue)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7438 (if enumname
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7439 (let ((enumvar (intern (concat "venum-" enumname))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7440 ;;(message "Define %s=%s" defname defvalue) (sleep-for 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7441 (unless (boundp enumvar) (set enumvar nil))
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7442 (make-local-variable enumvar)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7443 (add-to-list enumvar defname)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7444
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7445 (defun verilog-read-defines (&optional filename recurse subcall)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7446 "Read `defines and parameters for the current file, or optional FILENAME.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7447 If the filename is provided, `verilog-library-flags' will be used to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7448 resolve it. If optional RECURSE is non-nil, recurse through `includes.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7449
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7450 Parameters must be simple assignments to constants, or have their own
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7451 \"parameter\" label rather than a list of parameters. Thus:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7452
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7453 parameter X = 5, Y = 10; // Ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7454 parameter X = {1'b1, 2'h2}; // Ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7455 parameter X = {1'b1, 2'h2}, Y = 10; // Bad, make into 2 parameter lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7456
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7457 Defines must be simple text substitutions, one on a line, starting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7458 at the beginning of the line. Any ifdefs or multiline comments around the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7459 define are ignored.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7460
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7461 Defines are stored inside Emacs variables using the name vh-{definename}.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7462
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7463 This function is useful for setting vh-* variables. The file variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7464 feature can be used to set defines that `verilog-mode' can see; put at the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7465 *END* of your file something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7466
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7467 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7468 // vh-macro:\"macro_definition\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7469 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7470
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7471 If macros are defined earlier in the same file and you want their values,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7472 you can read them automatically (provided `enable-local-eval' is on):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7474 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7475 // eval:(verilog-read-defines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7476 // eval:(verilog-read-defines \"group_standard_includes.v\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7477 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7478
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7479 Note these are only read when the file is first visited, you must use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7480 \\[find-alternate-file] RET to have these take effect after editing them!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7481
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7482 If you want to disable the \"Process `eval' or hook local variables\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7483 warning message, you need to add to your .emacs file:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7484
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7485 (setq enable-local-eval t)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7486 (let ((origbuf (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7487 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7488 (unless subcall (verilog-getopt-flags))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7489 (when filename
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7490 (let ((fns (verilog-library-filenames filename (buffer-file-name))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7491 (if fns
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7492 (set-buffer (find-file-noselect (car fns)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7493 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7494 ": Can't find verilog-read-defines file: " filename)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7495 (when recurse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7496 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7497 (while (re-search-forward "^\\s-*`include\\s-+\\([^ \t\n\f]+\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7498 (let ((inc (verilog-string-replace-matches "\"" "" nil nil (match-string-no-properties 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7499 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7500 (verilog-read-defines inc recurse t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7501 ;; Read `defines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7502 ;; note we don't use verilog-re... it's faster this way, and that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7503 ;; function has problems when comments are at the end of the define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7504 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7505 (while (re-search-forward "^\\s-*`define\\s-+\\([a-zA-Z0-9_$]+\\)\\s-+\\(.*\\)$" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7506 (let ((defname (match-string-no-properties 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7507 (defvalue (match-string-no-properties 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7508 (setq defvalue (verilog-string-replace-matches "\\s-*/[/*].*$" "" nil nil defvalue))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7509 (verilog-set-define defname defvalue origbuf)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7510 ;; Hack: Read parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7511 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7512 (while (re-search-forward
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7513 "^\\s-*\\(parameter\\|localparam\\)\\(\\s-*\\[[^]]*\\]\\)?\\s-+" nil t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7514 (let (enumname)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7515 ;; The primary way of getting defines is verilog-read-decls
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7516 ;; However, that isn't called yet for included files, so we'll add another scheme
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7517 (if (looking-at "[^\n]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7518 (setq enumname (match-string-no-properties 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7519 (forward-comment 999)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7520 (while (looking-at "\\s-*,?\\s-*\\([a-zA-Z0-9_$]+\\)\\s-*=\\s-*\\([^;,]*\\),?\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7521 (verilog-set-define (match-string-no-properties 1) (match-string-no-properties 2) origbuf enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7522 (goto-char (match-end 0))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7523 (forward-comment 999)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7524
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7525 (defun verilog-read-includes ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7526 "Read `includes for the current file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7527 This will find all of the `includes which are at the beginning of lines,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7528 ignoring any ifdefs or multiline comments around them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7529 `verilog-read-defines' is then performed on the current and each included
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7530 file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7531
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7532 It is often useful put at the *END* of your file something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7533
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7534 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7535 // eval:(verilog-read-defines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7536 // eval:(verilog-read-includes)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7537 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7538
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7539 Note includes are only read when the file is first visited, you must use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7540 \\[find-alternate-file] RET to have these take effect after editing them!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7541
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7542 It is good to get in the habit of including all needed files in each .v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7543 file that needs it, rather than waiting for compile time. This will aid
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7544 this process, Verilint, and readability. To prevent defining the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7545 variable over and over when many modules are compiled together, put a test
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7546 around the inside each include file:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7547
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7548 foo.v (a include):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7549 `ifdef _FOO_V // include if not already included
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7550 `else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7551 `define _FOO_V
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7552 ... contents of file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7553 `endif // _FOO_V"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7554 ;;slow: (verilog-read-defines nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7555 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7556 (verilog-getopt-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7557 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7558 (while (re-search-forward "^\\s-*`include\\s-+\\([^ \t\n\f]+\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7559 (let ((inc (verilog-string-replace-matches "\"" "" nil nil (match-string 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7560 (verilog-read-defines inc nil t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7561
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7562 (defun verilog-read-signals (&optional start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7563 "Return a simple list of all possible signals in the file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7564 Bounded by optional region from START to END. Overly aggressive but fast.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7565 Some macros and such are also found and included. For dinotrace.el."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7566 (let (sigs-all keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7567 (progn;save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7568 (goto-char (or start (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7569 (setq end (or end (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7570 (while (re-search-forward "[\"/a-zA-Z_.%`]" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7571 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7572 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7573 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7574 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7575 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7576 (search-forward "*/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7577 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7578 (or (looking-at "(\\*\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7579 (search-forward "*)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7580 ((eq ?\" (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7581 (re-search-forward "[^\\]\"")) ;; don't forward-char first, since we look for a non backslash first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7582 ((looking-at "\\s-*\\([a-zA-Z0-9$_.%`]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7583 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7584 (setq keywd (match-string-no-properties 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7585 (or (member keywd verilog-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7586 (member keywd sigs-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7587 (setq sigs-all (cons keywd sigs-all))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7588 (t (forward-char 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7589 ;; Return list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7590 sigs-all)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7591
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7592 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7593 ;; Argument file parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7594 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7595
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7596 (defun verilog-getopt (arglist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7597 "Parse -f, -v etc arguments in ARGLIST list or string."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7598 (unless (listp arglist) (setq arglist (list arglist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7599 (let ((space-args '())
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7600 arg next-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7601 ;; Split on spaces, so users can pass whole command lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7602 (while arglist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7603 (setq arg (car arglist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7604 arglist (cdr arglist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7605 (while (string-match "^\\([^ \t\n\f]+\\)[ \t\n\f]*\\(.*$\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7606 (setq space-args (append space-args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7607 (list (match-string-no-properties 1 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7608 (setq arg (match-string 2 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7609 ;; Parse arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7610 (while space-args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7611 (setq arg (car space-args)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7612 space-args (cdr space-args))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7613 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7614 ;; Need another arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7615 ((equal arg "-f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7616 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7617 ((equal arg "-v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7618 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7619 ((equal arg "-y")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7620 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7621 ;; +libext+(ext1)+(ext2)...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7622 ((string-match "^\\+libext\\+\\(.*\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7623 (setq arg (match-string 1 arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7624 (while (string-match "\\([^+]+\\)\\+?\\(.*\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7625 (verilog-add-list-unique `verilog-library-extensions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7626 (match-string 1 arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7627 (setq arg (match-string 2 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7628 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7629 ((or (string-match "^-D\\([^+=]*\\)[+=]\\(.*\\)" arg) ;; -Ddefine=val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7630 (string-match "^-D\\([^+=]*\\)\\(\\)" arg) ;; -Ddefine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7631 (string-match "^\\+define\\([^+=]*\\)[+=]\\(.*\\)" arg) ;; +define+val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7632 (string-match "^\\+define\\([^+=]*\\)\\(\\)" arg)) ;; +define+define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7633 (verilog-set-define (match-string 1 arg) (match-string 2 arg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7634 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7635 ((or (string-match "^\\+incdir\\+\\(.*\\)" arg) ;; +incdir+dir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7636 (string-match "^-I\\(.*\\)" arg)) ;; -Idir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7637 (verilog-add-list-unique `verilog-library-directories
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7638 (match-string 1 (substitute-in-file-name arg))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7639 ;; Ignore
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7640 ((equal "+librescan" arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7641 ((string-match "^-U\\(.*\\)" arg)) ;; -Udefine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7642 ;; Second parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7643 ((equal next-param "-f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7644 (setq next-param nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7645 (verilog-getopt-file (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7646 ((equal next-param "-v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7647 (setq next-param nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7648 (verilog-add-list-unique `verilog-library-files
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7649 (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7650 ((equal next-param "-y")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7651 (setq next-param nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7652 (verilog-add-list-unique `verilog-library-directories
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7653 (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7654 ;; Filename
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7655 ((string-match "^[^-+]" arg)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7656 (verilog-add-list-unique `verilog-library-files
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7657 (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7658 ;; Default - ignore; no warning
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7659 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7660 ;;(verilog-getopt (list "+libext+.a+.b" "+incdir+foodir" "+define+a+aval" "-f" "otherf" "-v" "library" "-y" "dir"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7661
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7662 (defun verilog-getopt-file (filename)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7663 "Read Verilog options from the specified FILENAME."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7664 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7665 (let ((fns (verilog-library-filenames filename (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7666 (orig-buffer (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7667 line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7668 (if fns
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7669 (set-buffer (find-file-noselect (car fns)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7670 (error (concat (verilog-point-text)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7671 ": Can't find verilog-getopt-file -f file: " filename)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7672 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7673 (while (not (eobp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7674 (setq line (buffer-substring (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7675 (save-excursion (end-of-line) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7676 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7677 (when (string-match "//" line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7678 (setq line (substring line 0 (match-beginning 0))))
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7679 (with-current-buffer orig-buffer ; Variables are buffer-local, so need right context.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7680 (verilog-getopt line))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7681
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7682 (defun verilog-getopt-flags ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7683 "Convert `verilog-library-flags' into standard library variables."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7684 ;; If the flags are local, then all the outputs should be local also
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7685 (when (local-variable-p `verilog-library-flags (current-buffer))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7686 (mapc 'make-local-variable '(verilog-library-extensions
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7687 verilog-library-directories
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7688 verilog-library-files
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7689 verilog-library-flags)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7690 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7691 (run-hooks 'verilog-before-getopt-flags-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7692 ;; Process arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7693 (verilog-getopt verilog-library-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7694 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7695 (run-hooks 'verilog-getopt-flags-hook))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7696
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7697 (defun verilog-add-list-unique (varref object)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7698 "Append to VARREF list the given OBJECT,
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7699 unless it is already a member of the variable's list."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7700 (unless (member object (symbol-value varref))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7701 (set varref (append (symbol-value varref) (list object))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7702 varref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7703 ;;(progn (setq l '()) (verilog-add-list-unique `l "a") (verilog-add-list-unique `l "a") l)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7704
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7705
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7706 ;;
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7707 ;; Cached directory support
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7708 ;;
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7709
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7710 (defvar verilog-dir-cache-preserving nil
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7711 "If set, the directory cache is enabled, and file system changes are ignored.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7712 See `verilog-dir-exists-p' and `verilog-dir-files'.")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7713
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7714 ;; If adding new cached variable, add also to verilog-preserve-dir-cache
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7715 (defvar verilog-dir-cache-list nil
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7716 "Alist of (((Cwd Dirname) Results)...) for caching `verilog-dir-files'.")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7717 (defvar verilog-dir-cache-lib-filenames nil
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7718 "Cached data for `verilog-library-filenames'.")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7719
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7720 (defmacro verilog-preserve-dir-cache (&rest body)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7721 "Execute the BODY forms, allowing directory cache preservation within BODY.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7722 This means that changes inside BODY made to the file system will not be
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7723 seen by the `verilog-dir-files' and related functions."
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7724 `(let ((verilog-dir-cache-preserving t)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7725 verilog-dir-cache-list
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7726 verilog-dir-cache-lib-filenames)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7727 (progn ,@body)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7728
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7729 (defun verilog-dir-files (dirname)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7730 "Return all filenames in the DIRNAME directory.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7731 Relative paths depend on the `default-directory'.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7732 Results are cached if inside `verilog-preserve-dir-cache'."
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7733 (unless verilog-dir-cache-preserving
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7734 (setq verilog-dir-cache-list nil)) ;; Cache disabled
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7735 ;; We don't use expand-file-name on the dirname to make key, as it's slow
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7736 (let* ((cache-key (list dirname default-directory))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7737 (fass (assoc cache-key verilog-dir-cache-list))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7738 exp-dirname data)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7739 (cond (fass ;; Return data from cache hit
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7740 (nth 1 fass))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7741 (t
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7742 (setq exp-dirname (expand-file-name dirname)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7743 data (and (file-directory-p exp-dirname)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7744 (directory-files exp-dirname nil nil nil)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7745 ;; Note we also encache nil for non-existing dirs.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7746 (setq verilog-dir-cache-list (cons (list cache-key data)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7747 verilog-dir-cache-list))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7748 data))))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7749 ;; Miss-and-hit test:
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7750 ;;(verilog-preserve-dir-cache (prin1 (verilog-dir-files "."))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7751 ;; (prin1 (verilog-dir-files ".")) nil)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7752
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7753 (defun verilog-dir-file-exists-p (filename)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7754 "Return true if FILENAME exists.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7755 Like `file-exists-p' but results are cached if inside
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7756 `verilog-preserve-dir-cache'."
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7757 (let* ((dirname (file-name-directory filename))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7758 ;; Correct for file-name-nondirectory returning same if no slash.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7759 (dirnamed (if (or (not dirname) (equal dirname filename))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7760 default-directory dirname))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7761 (flist (verilog-dir-files dirnamed)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7762 (and flist
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7763 (member (file-name-nondirectory filename) flist)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7764 t)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7765 ;;(verilog-dir-file-exists-p "verilog-mode.el")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7766 ;;(verilog-dir-file-exists-p "../verilog-mode/verilog-mode.el")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7767
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7768
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7769 ;;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7770 ;; Module name lookup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7771 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7772
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7773 (defun verilog-module-inside-filename-p (module filename)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7774 "Return point if MODULE is specified inside FILENAME, else nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7775 Allows version control to check out the file if need be."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7776 (and (or (file-exists-p filename)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7777 (and (fboundp 'vc-backend)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7778 (vc-backend filename)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7779 (let (pt)
105813
df4934f25eef * textmodes/two-column.el (2C-split):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 104774
diff changeset
7780 (with-current-buffer (find-file-noselect filename)
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7781 (save-excursion
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7782 (goto-char (point-min))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7783 (while (and
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7784 ;; It may be tempting to look for verilog-defun-re,
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7785 ;; don't, it slows things down a lot!
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7786 (verilog-re-search-forward-quick "\\<module\\>" nil t)
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7787 (verilog-re-search-forward-quick "[(;]" nil t))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7788 (if (equal module (verilog-read-module-name))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7789 (setq pt (point))))
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
7790 pt)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7791
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7792 (defun verilog-is-number (symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7793 "Return true if SYMBOL is number-like."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7794 (or (string-match "^[0-9 \t:]+$" symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7795 (string-match "^[---]*[0-9]+$" symbol)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7796 (string-match "^[0-9 \t]+'s?[hdxbo][0-9a-fA-F_xz? \t]*$" symbol)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7797
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7798 (defun verilog-symbol-detick (symbol wing-it)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7799 "Return an expanded SYMBOL name without any defines.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7800 If the variable vh-{symbol} is defined, return that value.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7801 If undefined, and WING-IT, return just SYMBOL without the tick, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7802 (while (and symbol (string-match "^`" symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7803 (setq symbol (substring symbol 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7804 (setq symbol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7805 (if (boundp (intern (concat "vh-" symbol)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7806 ;; Emacs has a bug where boundp on a buffer-local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7807 ;; variable in only one buffer returns t in another.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7808 ;; This can confuse, so check for nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7809 (let ((val (eval (intern (concat "vh-" symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7810 (if (eq val nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7811 (if wing-it symbol nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7812 val))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7813 (if wing-it symbol nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7814 symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7815 ;;(verilog-symbol-detick "`mod" nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7816
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7817 (defun verilog-symbol-detick-denumber (symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7818 "Return SYMBOL with defines converted and any numbers dropped to nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7819 (when (string-match "^`" symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7820 ;; This only will work if the define is a simple signal, not
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7821 ;; something like a[b]. Sorry, it should be substituted into the parser
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7822 (setq symbol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7823 (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7824 "\[[^0-9: \t]+\]" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7825 (or (verilog-symbol-detick symbol nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7826 (if verilog-auto-sense-defines-constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7827 "0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7828 symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7829 (if (verilog-is-number symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7830 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7831 symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7832
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7833 (defun verilog-symbol-detick-text (text)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7834 "Return TEXT without any known defines.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7835 If the variable vh-{symbol} is defined, substitute that value."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7836 (let ((ok t) symbol val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7837 (while (and ok (string-match "`\\([a-zA-Z0-9_]+\\)" text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7838 (setq symbol (match-string 1 text))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7839 ;;(message symbol)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7840 (cond ((and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7841 (boundp (intern (concat "vh-" symbol)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7842 ;; Emacs has a bug where boundp on a buffer-local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7843 ;; variable in only one buffer returns t in another.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7844 ;; This can confuse, so check for nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7845 (setq val (eval (intern (concat "vh-" symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7846 (setq text (replace-match val nil nil text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7847 (t (setq ok nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7848 text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7849 ;;(progn (setq vh-mod "`foo" vh-foo "bar") (verilog-symbol-detick-text "bar `mod `undefed"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7850
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7851 (defun verilog-expand-dirnames (&optional dirnames)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7852 "Return a list of existing directories given a list of wildcarded DIRNAMES.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7853 Or, just the existing dirnames themselves if there are no wildcards."
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7854 ;; Note this function is performance critical.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7855 ;; Do not call anything that requires disk access that cannot be cached.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7856 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7857 (unless dirnames (error "`verilog-library-directories' should include at least '.'"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7858 (setq dirnames (reverse dirnames)) ; not nreverse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7859 (let ((dirlist nil)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7860 pattern dirfile dirfiles dirname root filename rest basefile)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7861 (while dirnames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7862 (setq dirname (substitute-in-file-name (car dirnames))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7863 dirnames (cdr dirnames))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7864 (cond ((string-match (concat "^\\(\\|[/\\]*[^*?]*[/\\]\\)" ;; root
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7865 "\\([^/\\]*[*?][^/\\]*\\)" ;; filename with *?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7866 "\\(.*\\)") ;; rest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7867 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7868 (setq root (match-string 1 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7869 filename (match-string 2 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7870 rest (match-string 3 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7871 pattern filename)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7872 ;; now replace those * and ? with .+ and .
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7873 ;; use ^ and /> to get only whole file names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7874 (setq pattern (verilog-string-replace-matches "[*]" ".+" nil nil pattern)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7875 pattern (verilog-string-replace-matches "[?]" "." nil nil pattern)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7876 pattern (concat "^" pattern "$")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7877 dirfiles (verilog-dir-files root))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7878 (while dirfiles
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7879 (setq basefile (car dirfiles)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7880 dirfile (expand-file-name (concat root basefile rest))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7881 dirfiles (cdr dirfiles))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7882 (if (and (string-match pattern basefile)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7883 ;; Don't allow abc/*/rtl to match abc/rtl via ..
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7884 (not (equal basefile "."))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7885 (not (equal basefile ".."))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7886 (file-directory-p dirfile))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7887 (setq dirlist (cons dirfile dirlist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7888 ;; Defaults
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7889 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7890 (if (file-directory-p dirname)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7891 (setq dirlist (cons dirname dirlist))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7892 dirlist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7893 ;;(verilog-expand-dirnames (list "." ".." "nonexist" "../*" "/home/wsnyder/*/v"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7894
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7895 (defun verilog-library-filenames (filename &optional current check-ext)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7896 "Return a search path to find the given FILENAME or module name.
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7897 Uses the optional CURRENT filename or buffer-file-name, plus
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7898 `verilog-library-directories' and `verilog-library-extensions'
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7899 variables to build the path. With optional CHECK-EXT also check
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7900 `verilog-library-extensions'."
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
7901 (unless current (setq current (buffer-file-name)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7902 (unless verilog-dir-cache-preserving
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7903 (setq verilog-dir-cache-lib-filenames nil))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7904 (let* ((cache-key (list filename current check-ext))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7905 (fass (assoc cache-key verilog-dir-cache-lib-filenames))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7906 chkdirs chkdir chkexts fn outlist)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7907 (cond (fass ;; Return data from cache hit
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7908 (nth 1 fass))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7909 (t
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7910 ;; Note this expand can't be easily cached, as we need to
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7911 ;; pick up buffer-local variables for newly read sub-module files
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7912 (setq chkdirs (verilog-expand-dirnames verilog-library-directories))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7913 (while chkdirs
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7914 (setq chkdir (expand-file-name (car chkdirs)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7915 (file-name-directory current))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7916 chkexts (if check-ext verilog-library-extensions `("")))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7917 (while chkexts
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7918 (setq fn (expand-file-name (concat filename (car chkexts))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7919 chkdir))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7920 ;;(message "Check for %s" fn)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7921 (if (verilog-dir-file-exists-p fn)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7922 (setq outlist (cons (expand-file-name
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7923 fn (file-name-directory current))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7924 outlist)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7925 (setq chkexts (cdr chkexts)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7926 (setq chkdirs (cdr chkdirs)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7927 (setq outlist (nreverse outlist))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7928 (setq verilog-dir-cache-lib-filenames
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7929 (cons (list cache-key outlist)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7930 verilog-dir-cache-lib-filenames))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7931 outlist))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7932
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7933 (defun verilog-module-filenames (module current)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7934 "Return a search path to find the given MODULE name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7935 Uses the CURRENT filename, `verilog-library-extensions',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7936 `verilog-library-directories' and `verilog-library-files'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7937 variables to build the path."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7938 ;; Return search locations for it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7939 (append (list current) ; first, current buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7940 (verilog-library-filenames module current t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7941 verilog-library-files)) ; finally, any libraries
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7942
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7943 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7944 ;; Module Information
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7945 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7946 ;; Many of these functions work on "modi" a module information structure
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7947 ;; A modi is: [module-name-string file-name begin-point]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7948
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7949 (defvar verilog-cache-enabled t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7950 "If true, enable caching of signals, etc. Set to nil for debugging to make things SLOW!")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7951
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7952 (defvar verilog-modi-cache-list nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7953 "Cache of ((Module Function) Buf-Tick Buf-Modtime Func-Returns)...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7954 For speeding up verilog-modi-get-* commands.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7955 Buffer-local.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7956
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7957 (make-variable-buffer-local 'verilog-modi-cache-list)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7958
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7959 (defvar verilog-modi-cache-preserve-tick nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7960 "Modification tick after which the cache is still considered valid.
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7961 Use `verilog-preserve-modi-cache' to set it.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7962 (defvar verilog-modi-cache-preserve-buffer nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7963 "Modification tick after which the cache is still considered valid.
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7964 Use `verilog-preserve-modi-cache' to set it.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7965
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7966 (defun verilog-modi-current ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7967 "Return the modi structure for the module currently at point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7968 (let* (name pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7969 ;; read current module's name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7970 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7971 (verilog-re-search-backward-quick verilog-defun-re nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7972 (verilog-re-search-forward-quick "(" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7973 (setq name (verilog-read-module-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7974 (setq pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7975 ;; return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7976 (vector name (or (buffer-file-name) (current-buffer)) pt)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7977
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7978 (defvar verilog-modi-lookup-last-mod nil "Cache of last module looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7979 (defvar verilog-modi-lookup-last-modi nil "Cache of last modi returned.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7980 (defvar verilog-modi-lookup-last-current nil "Cache of last `current-buffer' looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7981 (defvar verilog-modi-lookup-last-tick nil "Cache of last `buffer-modified-tick' looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7982
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7983 (defun verilog-modi-lookup (module allow-cache &optional ignore-error)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7984 "Find the file and point at which MODULE is defined.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7985 If ALLOW-CACHE is set, check and remember cache of previous lookups.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7986 Return modi if successful, else print message unless IGNORE-ERROR is true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7987 (let* ((current (or (buffer-file-name) (current-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7988 (cond ((and verilog-modi-lookup-last-modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7989 verilog-cache-enabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7990 allow-cache
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7991 (equal verilog-modi-lookup-last-mod module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7992 (equal verilog-modi-lookup-last-current current)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7993 (equal verilog-modi-lookup-last-tick (buffer-modified-tick)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7994 ;; ok as is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7995 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7996 (t (let* ((realmod (verilog-symbol-detick module t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7997 (orig-filenames (verilog-module-filenames realmod current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7998 (filenames orig-filenames)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7999 pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8000 (while (and filenames (not pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8001 (if (not (setq pt (verilog-module-inside-filename-p realmod (car filenames))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8002 (setq filenames (cdr filenames))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8003 (cond (pt (setq verilog-modi-lookup-last-modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8004 (vector realmod (car filenames) pt)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8005 (t (setq verilog-modi-lookup-last-modi nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8006 (or ignore-error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8007 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8008 ": Can't locate " module " module definition"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8009 (if (not (equal module realmod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8010 (concat " (Expanded macro to " realmod ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8011 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8012 "\n Check the verilog-library-directories variable."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8013 "\n I looked in (if not listed, doesn't exist):\n\t"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8014 (mapconcat 'concat orig-filenames "\n\t"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8015 (setq verilog-modi-lookup-last-mod module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8016 verilog-modi-lookup-last-current current
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8017 verilog-modi-lookup-last-tick (buffer-modified-tick)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8018 verilog-modi-lookup-last-modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8019
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8020 (defsubst verilog-modi-name (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8021 (aref modi 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8022 (defsubst verilog-modi-file-or-buffer (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8023 (aref modi 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8024 (defsubst verilog-modi-point (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8025 (aref modi 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8026
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8027 (defun verilog-modi-filename (modi)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8028 "Filename of MODI, or name of buffer if it's never been saved."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8029 (if (bufferp (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8030 (or (buffer-file-name (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8031 (buffer-name (verilog-modi-file-or-buffer modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8032 (verilog-modi-file-or-buffer modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8033
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8034 (defun verilog-modi-goto (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8035 "Move point/buffer to specified MODI."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8036 (or modi (error "Passed unfound modi to goto, check earlier"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8037 (set-buffer (if (bufferp (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8038 (verilog-modi-file-or-buffer modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8039 (find-file-noselect (verilog-modi-file-or-buffer modi))))
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8040 (or (equal major-mode `verilog-mode) ;; Put into Verilog mode to get syntax
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8041 (verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8042 (goto-char (verilog-modi-point modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8043
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8044 (defun verilog-goto-defun-file (module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8045 "Move point to the file at which a given MODULE is defined."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8046 (interactive "sGoto File for Module: ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8047 (let* ((modi (verilog-modi-lookup module nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8048 (when modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8049 (verilog-modi-goto modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8050 (switch-to-buffer (current-buffer)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8051
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8052 (defun verilog-modi-cache-results (modi function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8053 "Run on MODI the given FUNCTION. Locate the module in a file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8054 Cache the output of function so next call may have faster access."
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8055 (let (fass)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8056 (save-excursion ;; Cache is buffer-local so can't avoid this.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8057 (verilog-modi-goto modi)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8058 (if (and (setq fass (assoc (list modi function)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8059 verilog-modi-cache-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8060 ;; Destroy caching when incorrect; Modified or file changed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8061 (not (and verilog-cache-enabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8062 (or (equal (buffer-modified-tick) (nth 1 fass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8063 (and verilog-modi-cache-preserve-tick
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8064 (<= verilog-modi-cache-preserve-tick (nth 1 fass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8065 (equal verilog-modi-cache-preserve-buffer (current-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8066 (equal (visited-file-modtime) (nth 2 fass)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8067 (setq verilog-modi-cache-list nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8068 fass nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8069 (cond (fass
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8070 ;; Return data from cache hit
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8071 (nth 3 fass))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8072 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8073 ;; Read from file
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
8074 ;; Clear then restore any highlighting to make emacs19 happy
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8075 (let ((fontlocked (when (and (boundp 'font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8076 font-lock-mode)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8077 (font-lock-mode 0)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8078 t))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8079 func-returns)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8080 (setq func-returns (funcall function))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8081 (when fontlocked (font-lock-mode t))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8082 ;; Cache for next time
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8083 (setq verilog-modi-cache-list
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8084 (cons (list (list modi function)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8085 (buffer-modified-tick)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8086 (visited-file-modtime)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8087 func-returns)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8088 verilog-modi-cache-list))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8089 func-returns))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8090
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8091 (defun verilog-modi-cache-add (modi function element sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8092 "Add function return results to the module cache.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8093 Update MODI's cache for given FUNCTION so that the return ELEMENT of that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8094 function now contains the additional SIG-LIST parameters."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8095 (let (fass)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8096 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8097 (verilog-modi-goto modi)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8098 (if (setq fass (assoc (list modi function)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8099 verilog-modi-cache-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8100 (let ((func-returns (nth 3 fass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8101 (aset func-returns element
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8102 (append sig-list (aref func-returns element))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8103
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8104 (defmacro verilog-preserve-modi-cache (&rest body)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8105 "Execute the BODY forms, allowing cache preservation within BODY.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8106 This means that changes to the buffer will not result in the cache being
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8107 flushed. If the changes affect the modsig state, they must call the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8108 modsig-cache-add-* function, else the results of later calls may be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8109 incorrect. Without this, changes are assumed to be adding/removing signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8110 and invalidating the cache."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8111 `(let ((verilog-modi-cache-preserve-tick (buffer-modified-tick))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8112 (verilog-modi-cache-preserve-buffer (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8113 (progn ,@body)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8114
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8115
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8116 (defun verilog-signals-matching-enum (in-list enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8117 "Return all signals in IN-LIST matching the given ENUM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8118 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8119 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8120 (if (equal (verilog-sig-enum (car in-list)) enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8121 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8122 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8123 ;; New scheme
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8124 (let* ((enumvar (intern (concat "venum-" enum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8125 (enumlist (and (boundp enumvar) (eval enumvar))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8126 (while enumlist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8127 (add-to-list 'out-list (list (car enumlist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8128 (setq enumlist (cdr enumlist))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8129 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8130
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8131 (defun verilog-signals-matching-regexp (in-list regexp)
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8132 "Return all signals in IN-LIST matching the given REGEXP, if non-nil."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8133 (if (or (not regexp) (equal regexp ""))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8134 in-list
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8135 (let (out-list)
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8136 (while in-list
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8137 (if (string-match regexp (verilog-sig-name (car in-list)))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8138 (setq out-list (cons (car in-list) out-list)))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8139 (setq in-list (cdr in-list)))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8140 (nreverse out-list))))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8141
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8142 (defun verilog-signals-not-matching-regexp (in-list regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8143 "Return all signals in IN-LIST not matching the given REGEXP, if non-nil."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8144 (if (or (not regexp) (equal regexp ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8145 in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8146 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8147 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8148 (if (not (string-match regexp (verilog-sig-name (car in-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8149 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8150 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8151 (nreverse out-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8152
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8153 (defun verilog-signals-matching-dir-re (in-list decl-type regexp)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8154 "Return all signals in IN-LIST matching the given DECL-TYPE and REGEXP,
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8155 if non-nil."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8156 (if (or (not regexp) (equal regexp ""))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8157 in-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8158 (let (out-list to-match)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8159 (while in-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8160 ;; Note verilog-insert-one-definition matches on this order
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8161 (setq to-match (concat
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8162 decl-type
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8163 " " (verilog-sig-signed (car in-list))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8164 " " (verilog-sig-multidim (car in-list))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8165 (verilog-sig-bits (car in-list))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8166 (if (string-match regexp to-match)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8167 (setq out-list (cons (car in-list) out-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8168 (setq in-list (cdr in-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8169 (nreverse out-list))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8170
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8171 ;; Combined
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8172 (defun verilog-decls-get-signals (decls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8173 (append
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8174 (verilog-decls-get-outputs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8175 (verilog-decls-get-inouts decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8176 (verilog-decls-get-inputs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8177 (verilog-decls-get-wires decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8178 (verilog-decls-get-regs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8179 (verilog-decls-get-assigns decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8180 (verilog-decls-get-consts decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8181 (verilog-decls-get-gparams decls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8182
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8183 (defun verilog-decls-get-ports (decls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8184 (append
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8185 (verilog-decls-get-outputs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8186 (verilog-decls-get-inouts decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8187 (verilog-decls-get-inputs decls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8188
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8189 (defsubst verilog-modi-cache-add-outputs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8190 (verilog-modi-cache-add modi 'verilog-read-decls 0 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8191 (defsubst verilog-modi-cache-add-inouts (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8192 (verilog-modi-cache-add modi 'verilog-read-decls 1 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8193 (defsubst verilog-modi-cache-add-inputs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8194 (verilog-modi-cache-add modi 'verilog-read-decls 2 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8195 (defsubst verilog-modi-cache-add-wires (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8196 (verilog-modi-cache-add modi 'verilog-read-decls 3 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8197 (defsubst verilog-modi-cache-add-regs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8198 (verilog-modi-cache-add modi 'verilog-read-decls 4 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8199
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8200 (defun verilog-signals-from-signame (signame-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8201 "Return signals in standard form from SIGNAME-LIST, a simple list of signal names."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8202 (mapcar (function (lambda (name) (list name nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8203 signame-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8204
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8205 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8206 ;; Auto creation utilities
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8207 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8208
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
8209 (defun verilog-auto-re-search-do (search-for func)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
8210 "Search for the given auto text regexp SEARCH-FOR, and perform FUNC where it occurs."
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
8211 (goto-char (point-min))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
8212 (while (verilog-re-search-forward search-for nil t)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
8213 (funcall func)))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
8214
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8215 (defun verilog-insert-one-definition (sig type indent-pt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8216 "Print out a definition for SIG of the given TYPE,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8217 with appropriate INDENT-PT indentation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8218 (indent-to indent-pt)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8219 ;; Note verilog-signals-matching-dir-re matches on this order
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8220 (insert type)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8221 (when (verilog-sig-modport sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8222 (insert "." (verilog-sig-modport sig)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8223 (when (verilog-sig-signed sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8224 (insert " " (verilog-sig-signed sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8225 (when (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8226 (insert " " (verilog-sig-multidim-string sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8227 (when (verilog-sig-bits sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8228 (insert " " (verilog-sig-bits sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8229 (indent-to (max 24 (+ indent-pt 16)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8230 (unless (= (char-syntax (preceding-char)) ?\ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8231 (insert " ")) ; Need space between "]name" if indent-to did nothing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8232 (insert (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8233
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8234 (defun verilog-insert-definition (sigs direction indent-pt v2k &optional dont-sort)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8235 "Print out a definition for a list of SIGS of the given DIRECTION,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8236 with appropriate INDENT-PT indentation. If V2K, use Verilog 2001 I/O
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8237 format. Sort unless DONT-SORT. DIRECTION is normally wire/reg/output."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8238 (or dont-sort
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8239 (setq sigs (sort (copy-alist sigs) `verilog-signals-sort-compare)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8240 (while sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8241 (let ((sig (car sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8242 (verilog-insert-one-definition
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8243 sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8244 ;; Want "type x" or "output type x", not "wire type x"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8245 (cond ((verilog-sig-type sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8246 (concat
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8247 (if (not (member direction '("wire" "interface")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8248 (concat direction " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8249 (verilog-sig-type sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8250 (t direction))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8251 indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8252 (insert (if v2k "," ";"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8253 (if (or (not (verilog-sig-comment sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8254 (equal "" (verilog-sig-comment sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8255 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8256 (indent-to (max 48 (+ indent-pt 40)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8257 (insert (concat "// " (verilog-sig-comment sig) "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8258 (setq sigs (cdr sigs)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8259
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8260 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8261 (if (not (boundp 'indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8262 (defvar indent-pt nil "Local used by insert-indent")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8263
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8264 (defun verilog-insert-indent (&rest stuff)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8265 "Indent to position stored in local `indent-pt' variable, then insert STUFF.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8266 Presumes that any newlines end a list element."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8267 (let ((need-indent t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8268 (while stuff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8269 (if need-indent (indent-to indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8270 (setq need-indent nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8271 (insert (car stuff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8272 (setq need-indent (string-match "\n$" (car stuff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8273 stuff (cdr stuff)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8274 ;;(let ((indent-pt 10)) (verilog-insert-indent "hello\n" "addon" "there\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8275
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8276 (defun verilog-repair-open-comma ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8277 "Insert comma if previous argument is other than a open parenthesis or endif."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8278 ;; We can't just search backward for ) as it might be inside another expression.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8279 ;; Also want "`ifdef X input foo `endif" to just leave things to the human to deal with
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8280 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8281 (verilog-backward-syntactic-ws)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8282 (when (and (not (save-excursion ;; Not beginning (, or existing ,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8283 (backward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8284 (looking-at "[(,]")))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8285 (not (save-excursion ;; Not `endif, or user define
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8286 (backward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8287 (skip-chars-backward "[a-zA-Z0-9_`]")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8288 (looking-at "`"))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8289 (insert ","))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8290
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8291 (defun verilog-repair-close-comma ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8292 "If point is at a comma followed by a close parenthesis, fix it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8293 This repairs those mis-inserted by a AUTOARG."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8294 ;; It would be much nicer if Verilog allowed extra commas like Perl does!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8295 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8296 (verilog-forward-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8297 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8298 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8299 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8300 (when (looking-at ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8301 (delete-char 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8302
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8303 (defun verilog-get-list (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8304 "Return the elements of a comma separated list between START and END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8305 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8306 (let ((my-list (list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8307 my-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8308 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8309 (while (< (point) end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8310 (when (re-search-forward "\\([^,{]+\\)" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8311 (setq my-string (verilog-string-remove-spaces (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8312 (setq my-list (nconc my-list (list my-string) ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8313 (goto-char (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8314 my-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8315
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8316 (defun verilog-make-width-expression (range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8317 "Return an expression calculating the length of a range [x:y] in RANGE-EXP."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8318 ;; strip off the []
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8319 (cond ((not range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8320 "1")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8321 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8322 (if (string-match "^\\[\\(.*\\)\\]$" range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8323 (setq range-exp (match-string 1 range-exp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8324 (cond ((not range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8325 "1")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8326 ;; [#:#] We can compute a numeric result
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8327 ((string-match "^\\s *\\([0-9]+\\)\\s *:\\s *\\([0-9]+\\)\\s *$"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8328 range-exp)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8329 (int-to-string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8330 (1+ (abs (- (string-to-number (match-string 1 range-exp))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8331 (string-to-number (match-string 2 range-exp)))))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8332 ;; [PARAM-1:0] can just return PARAM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8333 ((string-match "^\\s *\\([a-zA-Z_][a-zA-Z0-9_]*\\)\\s *-\\s *1\\s *:\\s *0\\s *$" range-exp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8334 (match-string 1 range-exp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8335 ;; [arbitrary] need math
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8336 ((string-match "^\\(.*\\)\\s *:\\s *\\(.*\\)\\s *$" range-exp)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8337 (concat "(1+(" (match-string 1 range-exp) ")"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8338 (if (equal "0" (match-string 2 range-exp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8339 "" ;; Don't bother with -(0)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8340 (concat "-(" (match-string 2 range-exp) ")"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8341 ")"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8342 (t nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8343 ;;(verilog-make-width-expression "`A:`B")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8344
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8345 (defun verilog-simplify-range-expression (range-exp)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8346 "Return a simplified range expression with constants eliminated from RANGE-EXP."
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8347 (let ((out range-exp)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8348 (last-pass ""))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8349 (while (not (equal last-pass out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8350 (setq last-pass out)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8351 (while (string-match "(\\<\\([0-9A-Z-az_]+\\)\\>)" out)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8352 (setq out (replace-match "\\1" nil nil out)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8353 (while (string-match "\\<\\([0-9]+\\)\\>\\s *\\+\\s *\\<\\([0-9]+\\)\\>" out)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8354 (setq out (replace-match
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8355 (int-to-string (+ (string-to-number (match-string 1 out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8356 (string-to-number (match-string 2 out))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8357 nil nil out)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8358 (while (string-match "\\<\\([0-9]+\\)\\>\\s *\\-\\s *\\<\\([0-9]+\\)\\>" out)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8359 (setq out (replace-match
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8360 (int-to-string (- (string-to-number (match-string 1 out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8361 (string-to-number (match-string 2 out))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8362 nil nil out))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8363 out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8364 ;;(verilog-simplify-range-expression "1")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8365 ;;(verilog-simplify-range-expression "(((16)+1)-3)")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8366
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8367 (defun verilog-typedef-name-p (variable-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8368 "Return true if the VARIABLE-NAME is a type definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8369 (when verilog-typedef-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8370 (string-match verilog-typedef-regexp variable-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8371
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8372 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8373 ;; Auto deletion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8374 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8375
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8376 (defun verilog-delete-autos-lined ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8377 "Delete autos that occupy multiple lines, between begin and end comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8378 (let ((pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8379 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8380 (when (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8381 (looking-at "\\s-*// Beginning")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8382 (search-forward "// End of automatic" nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8383 ;; End exists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8384 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8385 (delete-region pt (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8386 (forward-line 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8387
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8388 (defun verilog-delete-empty-auto-pair ()
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8389 "Delete begin/end auto pair at point, if empty."
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8390 (forward-line 0)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8391 (when (looking-at (concat "\\s-*// Beginning of automatic.*\n"
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8392 "\\s-*// End of automatics\n"))
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8393 (delete-region (point) (save-excursion (forward-line 2) (point)))))
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8394
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8395 (defun verilog-forward-close-paren ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8396 "Find the close parenthesis that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8397 Ignore other close parenthesis with matching open parens."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8398 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8399 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8400 (unless (verilog-re-search-forward-quick "[()]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8401 (error "%s: Mismatching ()" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8402 (cond ((= (preceding-char) ?\( )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8403 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8404 ((= (preceding-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8405 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8406
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8407 (defun verilog-backward-open-paren ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8408 "Find the open parenthesis that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8409 Ignore other open parenthesis with matching close parens."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8410 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8411 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8412 (unless (verilog-re-search-backward-quick "[()]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8413 (error "%s: Mismatching ()" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8414 (cond ((= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8415 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8416 ((= (following-char) ?\( )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8417 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8418
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8419 (defun verilog-backward-open-bracket ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8420 "Find the open bracket that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8421 Ignore other open bracket with matching close bracket."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8422 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8423 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8424 (unless (verilog-re-search-backward-quick "[][]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8425 (error "%s: Mismatching []" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8426 (cond ((= (following-char) ?\] )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8427 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8428 ((= (following-char) ?\[ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8429 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8430
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8431 (defun verilog-delete-to-paren ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8432 "Delete the automatic inst/sense/arg created by autos.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8433 Deletion stops at the matching end parenthesis."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8434 (delete-region (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8435 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8436 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8437 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8438 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8439 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8440
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8441 (defun verilog-auto-star-safe ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8442 "Return if a .* AUTOINST is safe to delete or expand.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8443 It was created by the AUTOS themselves, or by the user."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8444 (and verilog-auto-star-expand
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8445 (looking-at "[ \t\n\f,]*\\([)]\\|// \\(Outputs\\|Inouts\\|Inputs\\|Interfaces\\)\\)")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8446
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8447 (defun verilog-delete-auto-star-all ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8448 "Delete a .* AUTOINST, if it is safe."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8449 (when (verilog-auto-star-safe)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8450 (verilog-delete-to-paren)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8451
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8452 (defun verilog-delete-auto-star-implicit ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8453 "Delete all .* implicit connections created by `verilog-auto-star'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8454 This function will be called automatically at save unless
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8455 `verilog-auto-star-save' is set, any non-templated expanded pins will be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8456 removed."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8457 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8458 (let (paren-pt indent have-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8459 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8460 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8461 ;; We need to match these even outside of comments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8462 ;; For reasonable performance, we don't check if inside comments, sorry.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8463 (while (re-search-forward "// Implicit \\.\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8464 (setq paren-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8465 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8466 (setq have-close-paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8467 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8468 (when (search-forward ");" paren-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8469 (setq indent (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8470 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8471 (delete-region (point) (+ 1 paren-pt)) ; Nuke line incl CR
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8472 (when have-close-paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8473 ;; Delete extra commentary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8474 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8475 (while (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8476 (forward-line -1)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8477 (looking-at "\\s *//\\s *\\(Outputs\\|Inouts\\|Inputs\\|Interfaces\\)\n"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8478 (delete-region (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8479 ;; If it is simple, we can put the ); on the same line as the last text
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8480 (let ((rtn-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8481 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8482 (while (progn (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8483 (looking-at "[ \t\n\f]")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8484 (when (looking-at ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8485 (delete-region (+ 1 (point)) rtn-pt))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8486 (when (bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8487 (indent-to indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8488 (insert ");\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8489 ;; Still need to kill final comma - always is one as we put one after the .*
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8490 (re-search-backward ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8491 (delete-char 1))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8492
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8493 (defun verilog-delete-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8494 "Delete the automatic outputs, regs, and wires created by \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8495 Use \\[verilog-auto] to re-insert the updated AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8496
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8497 The hooks `verilog-before-delete-auto-hook' and `verilog-delete-auto-hook' are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8498 called before and after this function, respectively."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8499 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8500 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8501 (if (buffer-file-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8502 (find-file-noselect (buffer-file-name))) ;; To check we have latest version
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8503 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8504 (run-hooks 'verilog-before-delete-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8505
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8506 ;; Remove those that have multi-line insertions, possibly with parameters
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8507 (verilog-auto-re-search-do
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8508 (concat "/\\*"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8509 (eval-when-compile
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8510 (verilog-regexp-words
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8511 `("AUTOASCIIENUM" "AUTOCONCATCOMMENT" "AUTODEFINEVALUE"
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8512 "AUTOINOUT" "AUTOINOUTCOMP" "AUTOINOUTMODULE"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8513 "AUTOINPUT" "AUTOINSERTLISP" "AUTOOUTPUT" "AUTOOUTPUTEVERY"
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8514 "AUTOREG" "AUTOREGINPUT" "AUTORESET" "AUTOTIEOFF"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8515 "AUTOUNUSED" "AUTOWIRE")))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8516 ;; Optional parens or quoted parameter or .* for (((...)))
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
8517 "\\(\\|([^)]*)\\|(\"[^\"]*\")\\).*?"
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8518 "\\*/")
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8519 'verilog-delete-autos-lined)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8520 ;; Remove those that are in parenthesis
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8521 (verilog-auto-re-search-do
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8522 (concat "/\\*"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8523 (eval-when-compile
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8524 (verilog-regexp-words
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8525 `("AS" "AUTOARG" "AUTOCONCATWIDTH" "AUTOINST" "AUTOINSTPARAM"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8526 "AUTOSENSE")))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8527 "\\*/")
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8528 'verilog-delete-to-paren)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8529 ;; Do .* instantiations, but avoid removing any user pins by looking for our magic comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8530 (verilog-auto-re-search-do "\\.\\*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8531 'verilog-delete-auto-star-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8532 ;; Remove template comments ... anywhere in case was pasted after AUTOINST removed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8533 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8534 (while (re-search-forward "\\s-*// \\(Templated\\|Implicit \\.\\*\\)[ \tLT0-9]*$" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8535 (replace-match ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8536
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8537 ;; Final customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8538 (run-hooks 'verilog-delete-auto-hook)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8539
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8540 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8541 ;; Auto inject
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8542 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8543
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8544 (defun verilog-inject-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8545 "Examine legacy non-AUTO code and insert AUTOs in appropriate places.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8546
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8547 Any always @ blocks with sensitivity lists that match computed lists will
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8548 be replaced with /*AS*/ comments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8549
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8550 Any cells will get /*AUTOINST*/ added to the end of the pin list.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8551 Pins with have identical names will be deleted.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8552
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8553 Argument lists will not be deleted, /*AUTOARG*/ will only be inserted to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8554 support adding new ports. You may wish to delete older ports yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8555
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8556 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8557
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8558 module ExampInject (i, o);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8559 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8560 input j;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8561 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8562 always @ (i or j)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8563 o = i | j;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8564 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8565 (.foobar(baz),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8566 j(j));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8567 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8568
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8569 Typing \\[verilog-inject-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8570
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8571 module ExampInject (i, o/*AUTOARG*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8572 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8573 j);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8574 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8575 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8576 always @ (/*AS*/i or j)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8577 o = i | j;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8578 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8579 (.foobar(baz),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8580 /*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8581 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8582 j(j));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8583 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8584 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8585 (verilog-auto t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8586
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8587 (defun verilog-inject-arg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8588 "Inject AUTOARG into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8589 ;; Presume one module per file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8590 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8591 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8592 (while (verilog-re-search-forward-quick "\\<module\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8593 (let ((endmodp (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8594 (verilog-re-search-forward-quick "\\<endmodule\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8595 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8596 ;; See if there's already a comment .. inside a comment so not verilog-re-search
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8597 (when (not (re-search-forward "/\\*AUTOARG\\*/" endmodp t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8598 (verilog-re-search-forward-quick ";" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8599 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8600 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8601 (backward-char 1) ; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8602 (when (looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8603 (insert "/*AUTOARG*/")))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8604
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8605 (defun verilog-inject-sense ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8606 "Inject AUTOSENSE into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8607 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8608 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8609 (while (verilog-re-search-forward-quick "\\<always\\s *@\\s *(" nil t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8610 (let* ((start-pt (point))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8611 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8612 (moddecls (verilog-modi-get-decls modi))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8613 pre-sigs
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8614 got-sigs)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8615 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8616 (forward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8617 (backward-char 1) ;; End )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8618 (when (not (verilog-re-search-backward "/\\*\\(AUTOSENSE\\|AS\\)\\*/" start-pt t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8619 (setq pre-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8620 (verilog-read-signals start-pt (point)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8621 got-sigs (verilog-auto-sense-sigs moddecls nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8622 (when (not (or (verilog-signals-not-in pre-sigs got-sigs) ; Both are equal?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8623 (verilog-signals-not-in got-sigs pre-sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8624 (delete-region start-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8625 (insert "/*AS*/")))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8626
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8627 (defun verilog-inject-inst ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8628 "Inject AUTOINST into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8629 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8630 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8631 ;; It's hard to distinguish modules; we'll instead search for pins.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8632 (while (verilog-re-search-forward-quick "\\.\\s *[a-zA-Z0-9`_\$]+\\s *(\\s *[a-zA-Z0-9`_\$]+\\s *)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8633 (verilog-backward-open-paren) ;; Inst start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8634 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8635 ((= (preceding-char) ?\#) ;; #(...) parameter section, not pin. Skip.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8636 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8637 (verilog-forward-close-paren)) ;; Parameters done
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8638 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8639 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8640 (let ((indent-pt (+ (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8641 (end-pt (save-excursion (verilog-forward-close-paren) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8642 (cond ((verilog-re-search-forward "\\(/\\*AUTOINST\\*/\\|\\.\\*\\)" end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8643 (goto-char end-pt)) ;; Already there, continue search with next instance
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8644 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8645 ;; Delete identical interconnect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8646 (let ((case-fold-search nil)) ;; So we don't convert upper-to-lower, etc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8647 (while (verilog-re-search-forward "\\.\\s *\\([a-zA-Z0-9`_\$]+\\)*\\s *(\\s *\\1\\s *)\\s *" end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8648 (delete-region (match-beginning 0) (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8649 (setq end-pt (- end-pt (- (match-end 0) (match-beginning 0)))) ;; Keep it correct
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8650 (while (or (looking-at "[ \t\n\f,]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8651 (looking-at "//[^\n]*"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8652 (delete-region (match-beginning 0) (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8653 (setq end-pt (- end-pt (- (match-end 0) (match-beginning 0)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8654 (verilog-forward-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8655 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8656 ;; Not verilog-re-search, as we don't want to strip comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8657 (while (re-search-backward "[ \t\n\f]+" (- (point) 1) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8658 (delete-region (match-beginning 0) (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8659 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8660 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8661 (insert "/*AUTOINST*/")))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8663 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8664 ;; Auto save
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8665 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8666
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8667 (defun verilog-auto-save-check ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8668 "On saving see if we need auto update."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8669 (cond ((not verilog-auto-save-policy)) ; disabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8670 ((not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8671 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8672 (let ((case-fold-search nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8673 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8674 (re-search-forward "AUTO" nil t))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8675 ((eq verilog-auto-save-policy 'force)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8676 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8677 ((not (buffer-modified-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8678 ((eq verilog-auto-update-tick (buffer-modified-tick))) ; up-to-date
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8679 ((eq verilog-auto-save-policy 'detect)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8680 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8681 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8682 (when (yes-or-no-p "AUTO statements not recomputed, do it now? ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8683 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8684 ;; Don't ask again if didn't update
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8685 (set (make-local-variable 'verilog-auto-update-tick) (buffer-modified-tick))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8686 (when (not verilog-auto-star-save)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8687 (verilog-delete-auto-star-implicit))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8688 nil) ;; Always return nil -- we don't write the file ourselves
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8689
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8690 (defun verilog-auto-read-locals ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8691 "Return file local variable segment at bottom of file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8692 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8693 (goto-char (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8694 (if (re-search-backward "Local Variables:" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8695 (buffer-substring-no-properties (point) (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8696 "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8697
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8698 (defun verilog-auto-reeval-locals (&optional force)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8699 "Read file local variable segment at bottom of file if it has changed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8700 If FORCE, always reread it."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8701 (make-local-variable 'verilog-auto-last-file-locals)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8702 (let ((curlocal (verilog-auto-read-locals)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8703 (when (or force (not (equal verilog-auto-last-file-locals curlocal)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8704 (setq verilog-auto-last-file-locals curlocal)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8705 ;; Note this may cause this function to be recursively invoked,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8706 ;; because hack-local-variables may call (verilog-mode)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8707 ;; The above when statement will prevent it from recursing forever.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8708 (hack-local-variables)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8709 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8710
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8711 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8712 ;; Auto creation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8713 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8714
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8715 (defun verilog-auto-arg-ports (sigs message indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8716 "Print a list of ports for a AUTOINST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8717 Takes SIGS list, adds MESSAGE to front and inserts each at INDENT-PT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8718 (when sigs
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8719 (when verilog-auto-arg-sort
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8720 (setq sigs (sort (copy-alist sigs) `verilog-signals-sort-compare)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8721 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8722 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8723 (insert message)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8724 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8725 (let ((space ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8726 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8727 (while sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8728 (cond ((> (+ 2 (current-column) (length (verilog-sig-name (car sigs)))) fill-column)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8729 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8730 (indent-to indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8731 (t (insert space)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8732 (insert (verilog-sig-name (car sigs)) ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8733 (setq sigs (cdr sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8734 space " ")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8735
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8736 (defun verilog-auto-arg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8737 "Expand AUTOARG statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8738 Replace the argument declarations at the beginning of the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8739 module with ones automatically derived from input and output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8740 statements. This can be dangerous if the module is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8741 using position-based connections, so use only name-based when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8742 instantiating the resulting module. Long lines are split based
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8743 on the `fill-column', see \\[set-fill-column].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8744
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8745 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8746 Concatenation and outputting partial busses is not supported.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8747
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8748 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8749
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8750 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8751
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8752 module ExampArg (/*AUTOARG*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8753 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8754 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8755 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8756
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8757 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8758
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8759 module ExampArg (/*AUTOARG*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8760 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8761 o,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8762 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8763 i
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8764 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8765 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8766 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8767 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8768
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8769 The argument declarations may be printed in declaration order to best suit
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8770 order based instantiations, or alphabetically, based on the
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8771 `verilog-auto-arg-sort' variable.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8772
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8773 Any ports declared between the ( and /*AUTOARG*/ are presumed to be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8774 predeclared and are not redeclared by AUTOARG. AUTOARG will make a
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8775 conservative guess on adding a comma for the first signal, if you have
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8776 any ifdefs or complicated expressions before the AUTOARG you will need
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8777 to choose the comma yourself.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8779 Avoid declaring ports manually, as it makes code harder to maintain."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8780 (save-excursion
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8781 (let* ((modi (verilog-modi-current))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8782 (moddecls (verilog-modi-get-decls modi))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8783 (skip-pins (aref (verilog-read-arg-pins) 0)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8784 (verilog-repair-open-comma)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8785 (verilog-auto-arg-ports (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8786 (verilog-decls-get-outputs moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8787 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8788 "// Outputs"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8789 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8790 (verilog-auto-arg-ports (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8791 (verilog-decls-get-inouts moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8792 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8793 "// Inouts"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8794 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8795 (verilog-auto-arg-ports (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8796 (verilog-decls-get-inputs moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8797 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8798 "// Inputs"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8799 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8800 (verilog-repair-close-comma)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8801 (unless (eq (char-before) ?/ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8802 (insert "\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8803 (indent-to verilog-indent-level-declaration))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8804
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8805 (defun verilog-auto-inst-port-map (port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8806 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8807
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8808 (defvar vl-cell-type nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8809 (defvar vl-cell-name nil "See `verilog-auto-inst'.") ; Prevent compile warning
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8810 (defvar vl-modport nil "See `verilog-auto-inst'.") ; Prevent compile warning
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8811 (defvar vl-name nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8812 (defvar vl-width nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8813 (defvar vl-dir nil "See `verilog-auto-inst'.") ; Prevent compile warning
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
8814 (defvar vl-bits nil "See `verilog-auto-inst'.") ; Prevent compile warning
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
8815 (defvar vl-mbits nil "See `verilog-auto-inst'.") ; Prevent compile warning
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8816
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8817 (defun verilog-auto-inst-port (port-st indent-pt tpl-list tpl-num for-star par-values)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8818 "Print out a instantiation connection for this PORT-ST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8819 Insert to INDENT-PT, use template TPL-LIST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8820 @ are instantiation numbers, replaced with TPL-NUM.
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8821 @\"(expression @)\" are evaluated, with @ as a variable.
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8822 If FOR-STAR add comment it is a .* expansion.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8823 If PAR-VALUES replace final strings with these parameter values."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8824 (let* ((port (verilog-sig-name port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8825 (tpl-ass (or (assoc port (car tpl-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8826 (verilog-auto-inst-port-map port-st)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8827 ;; vl-* are documented for user use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8828 (vl-name (verilog-sig-name port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8829 (vl-width (verilog-sig-width port-st))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8830 (vl-modport (verilog-sig-modport port-st))
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
8831 (vl-mbits (if (verilog-sig-multidim port-st)
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
8832 (verilog-sig-multidim-string port-st) ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8833 (vl-bits (if (or verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8834 (not (assoc port vector-skip-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8835 (not (equal (verilog-sig-bits port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8836 (verilog-sig-bits (assoc port vector-skip-list)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8837 (or (verilog-sig-bits port-st) "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8838 ""))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8839 (case-fold-search nil)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8840 (check-values par-values)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8841 tpl-net)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8842 ;; Replace parameters in bit-width
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8843 (when (and check-values
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8844 (not (equal vl-bits "")))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8845 (while check-values
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8846 (setq vl-bits (verilog-string-replace-matches
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8847 (concat "\\<" (nth 0 (car check-values)) "\\>")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8848 (concat "(" (nth 1 (car check-values)) ")")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8849 t t vl-bits)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8850 check-values (cdr check-values)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8851 (setq vl-bits (verilog-simplify-range-expression vl-bits))) ; Not in the loop for speed
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8852 ;; Default net value if not found
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8853 (setq tpl-net (concat port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8854 (if vl-modport (concat "." vl-modport) "")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8855 (if (verilog-sig-multidim port-st)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8856 (concat "/*" (verilog-sig-multidim-string port-st)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8857 vl-bits "*/")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8858 (concat vl-bits))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8859 ;; Find template
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8860 (cond (tpl-ass ; Template of exact port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8861 (setq tpl-net (nth 1 tpl-ass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8862 ((nth 1 tpl-list) ; Wildcards in template, search them
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8863 (let ((wildcards (nth 1 tpl-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8864 (while wildcards
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8865 (when (string-match (nth 0 (car wildcards)) port)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8866 (setq tpl-ass (car wildcards) ; so allow @ parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8867 tpl-net (replace-match (nth 1 (car wildcards))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8868 t nil port)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8869 (setq wildcards (cdr wildcards))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8870 ;; Parse Templated variable
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8871 (when tpl-ass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8872 ;; Evaluate @"(lispcode)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8873 (when (string-match "@\".*[^\\]\"" tpl-net)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8874 (while (string-match "@\"\\(\\([^\\\"]*\\(\\\\.\\)*\\)*\\)\"" tpl-net)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8875 (setq tpl-net
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8876 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8877 (substring tpl-net 0 (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8878 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8879 (let* ((expr (match-string 1 tpl-net))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8880 (value
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8881 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8882 (setq expr (verilog-string-replace-matches "\\\\\"" "\"" nil nil expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8883 (setq expr (verilog-string-replace-matches "@" tpl-num nil nil expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8884 (prin1 (eval (car (read-from-string expr)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8885 (lambda (ch) ())))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8886 (if (numberp value) (setq value (number-to-string value)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8887 value))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8888 (substring tpl-net (match-end 0))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8889 ;; Replace @ and [] magic variables in final output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8890 (setq tpl-net (verilog-string-replace-matches "@" tpl-num nil nil tpl-net))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8891 (setq tpl-net (verilog-string-replace-matches "\\[\\]" vl-bits nil nil tpl-net)))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8892 ;; Insert it
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8893 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8894 (insert "." port)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8895 (indent-to verilog-auto-inst-column)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8896 (insert "(" tpl-net "),")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8897 (cond (tpl-ass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8898 (indent-to (+ (if (< verilog-auto-inst-column 48) 24 16)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8899 verilog-auto-inst-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8900 (insert " // Templated")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8901 (when verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8902 (insert " T" (int-to-string (nth 2 tpl-ass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8903 " L" (int-to-string (nth 3 tpl-ass)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8904 (for-star
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8905 (indent-to (+ (if (< verilog-auto-inst-column 48) 24 16)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8906 verilog-auto-inst-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8907 (insert " // Implicit .\*"))) ;For some reason the . or * must be escaped...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8908 (insert "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8909 ;;(verilog-auto-inst-port (list "foo" "[5:0]") 10 (list (list "foo" "a@\"(% (+ @ 1) 4)\"a")) "3")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8910 ;;(x "incom[@\"(+ (* 8 @) 7)\":@\"(* 8 @)\"]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8911 ;;(x ".out (outgo[@\"(concat (+ (* 8 @) 7) \\\":\\\" ( * 8 @))\"]));")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8912
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8913 (defun verilog-auto-inst-first ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8914 "Insert , etc before first ever port in this instant, as part of \\[verilog-auto-inst]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8915 ;; Do we need a trailing comma?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8916 ;; There maybe a ifdef or something similar before us. What a mess. Thus
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
8917 ;; to avoid trouble we only insert on preceding ) or *.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8918 ;; Insert first port on new line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8919 (insert "\n") ;; Must insert before search, so point will move forward if insert comma
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8920 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8921 (verilog-re-search-backward "[^ \t\n\f]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8922 (when (looking-at ")\\|\\*") ;; Generally don't insert, unless we are fairly sure
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8923 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8924 (insert ","))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8925
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8926 (defun verilog-auto-star ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8927 "Expand SystemVerilog .* pins, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8928
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8929 If `verilog-auto-star-expand' is set, .* pins are treated if they were
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8930 AUTOINST statements, otherwise they are ignored. For safety, Verilog mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8931 will also ignore any .* that are not last in your pin list (this prevents
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8932 it from deleting pins following the .* when it expands the AUTOINST.)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8933
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8934 On writing your file, unless `verilog-auto-star-save' is set, any
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8935 non-templated expanded pins will be removed. You may do this at any time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8936 with \\[verilog-delete-auto-star-implicit].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8937
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8938 If you are converting a module to use .* for the first time, you may wish
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8939 to use \\[verilog-inject-auto] and then replace the created AUTOINST with .*.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8940
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8941 See `verilog-auto-inst' for examples, templates, and more information."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8942 (when (verilog-auto-star-safe)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8943 (verilog-auto-inst)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8944
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8945 (defun verilog-auto-inst ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8946 "Expand AUTOINST statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8947 Replace the pin connections to an instantiation with ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8948 automatically derived from the module header of the instantiated netlist.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8949
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8950 If `verilog-auto-star-expand' is set, also expand SystemVerilog .* ports,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8951 and delete them before saving unless `verilog-auto-star-save' is set.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8952 See `verilog-auto-star' for more information.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8953
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8954 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8955 Module names must be resolvable to filenames by adding a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8956 `verilog-library-extensions', and being found in the same directory, or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8957 by changing the variable `verilog-library-flags' or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8958 `verilog-library-directories'. Macros `modname are translated through the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8959 vh-{name} Emacs variable, if that is not found, it just ignores the `.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8960
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8961 In templates you must have one signal per line, ending in a ), or ));,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8962 and have proper () nesting, including a final ); to end the template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8963
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8964 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8965
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8966 SystemVerilog multidimensional input/output has only experimental support.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8967
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8968 Parameters referenced by the instantiation will remain symbolic, unless
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8969 `verilog-auto-inst-param-value' is set.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8970
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8971 For example, first take the submodule InstModule.v:
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8972
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8973 module InstModule (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8974 output [31:0] o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8975 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8976 wire [31:0] o = {32{i}};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8977 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8978
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8979 This is then used in a upper level module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8980
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8981 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8982 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8983 input i;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8984 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8985 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8986 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8987
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8988 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8989
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8990 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8991 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8992 input i;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8993 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8994 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8995 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8996 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8997 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8998 .i (i));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8999 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9000
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9001 Where the list of inputs and outputs came from the inst module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9002
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9003 Exceptions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9004
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9005 Unless you are instantiating a module multiple times, or the module is
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9006 something trivial like an adder, DO NOT CHANGE SIGNAL NAMES ACROSS HIERARCHY.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9007 It just makes for unmaintainable code. To sanitize signal names, try
104029
55ba5af4bf3a Kevin Ryde <user42 at zip.com.au>
Glenn Morris <rgm@gnu.org>
parents: 103980
diff changeset
9008 vrename from URL `http://www.veripool.org'.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9009
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9010 When you need to violate this suggestion there are two ways to list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9011 exceptions, placing them before the AUTOINST, or using templates.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9012
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9013 Any ports defined before the /*AUTOINST*/ are not included in the list of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9014 automatics. This is similar to making a template as described below, but
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9015 is restricted to simple connections just like you normally make. Also note
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9016 that any signals before the AUTOINST will only be picked up by AUTOWIRE if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9017 you have the appropriate // Input or // Output comment, and exactly the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9018 same line formatting as AUTOINST itself uses.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9019
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9020 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9021 (// Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9022 .i (my_i_dont_mess_with_it),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9023 /*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9024 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9025 .ov (ov[31:0]));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9026
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9027
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9028 Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9029
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9030 For multiple instantiations based upon a single template, create a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9031 commented out template:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9032
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9033 /* InstModule AUTO_TEMPLATE (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9034 .sig3 (sigz[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9035 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9036 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9037
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9038 Templates go ABOVE the instantiation(s). When an instantiation is
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9039 expanded `verilog-mode' simply searches up for the closest template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9040 Thus you can have multiple templates for the same module, just alternate
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9041 between the template for an instantiation and the instantiation itself.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9042
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9043 The module name must be the same as the name of the module in the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9044 instantiation name, and the code \"AUTO_TEMPLATE\" must be in these exact
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9045 words and capitalized. Only signals that must be different for each
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9046 instantiation need to be listed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9047
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9048 Inside a template, a [] in a connection name (with nothing else inside
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9049 the brackets) will be replaced by the same bus subscript as it is being
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9050 connected to, or the [] will be removed if it is a single bit signal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9051 Generally it is a good idea to do this for all connections in a template,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9052 as then they will work for any width signal, and with AUTOWIRE. See
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9053 PTL_BUS becoming PTL_BUSNEW below.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9054
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9055 If you have a complicated template, set `verilog-auto-inst-template-numbers'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9056 to see which regexps are matching. Don't leave that mode set after
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9057 debugging is completed though, it will result in lots of extra differences
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9058 and merge conflicts.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9059
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9060 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9061
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9062 /* InstModule AUTO_TEMPLATE (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9063 .ptl_bus (ptl_busnew[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9064 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9065 */
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9066 InstModule ms2m (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9067
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9068 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9069
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9070 InstModule ms2m (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9071 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9072 .NotInTemplate (NotInTemplate),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9073 .ptl_bus (ptl_busnew[3:0]), // Templated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9074 ....
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9075
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9076 @ Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9077
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9078 It is common to instantiate a cell multiple times, so templates make it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9079 trivial to substitute part of the cell name into the connection name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9080
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9081 /* InstName AUTO_TEMPLATE <optional \"REGEXP\"> (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9082 .sig1 (sigx[@]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9083 .sig2 (sigy[@\"(% (+ 1 @) 4)\"]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9084 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9085 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9086
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9087 If no regular expression is provided immediately after the AUTO_TEMPLATE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9088 keyword, then the @ character in any connection names will be replaced
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9089 with the instantiation number; the first digits found in the cell's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9090 instantiation name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9091
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9092 If a regular expression is provided, the @ character will be replaced
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9093 with the first \(\) grouping that matches against the cell name. Using a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9094 regexp of \"\\([0-9]+\\)\" provides identical values for @ as when no
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9095 regexp is provided. If you use multiple layers of parenthesis,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9096 \"test\\([^0-9]+\\)_\\([0-9]+\\)\" would replace @ with non-number
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9097 characters after test and before _, whereas
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9098 \"\\(test\\([a-z]+\\)_\\([0-9]+\\)\\)\" would replace @ with the entire
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9099 match.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9100
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9101 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9102
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9103 /* InstModule AUTO_TEMPLATE (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9104 .ptl_mapvalidx (ptl_mapvalid[@]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9105 .ptl_mapvalidp1x (ptl_mapvalid[@\"(% (+ 1 @) 4)\"]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9106 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9107 */
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9108 InstModule ms2m (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9109
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9110 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9111
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9112 InstModule ms2m (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9113 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9114 .ptl_mapvalidx (ptl_mapvalid[2]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9115 .ptl_mapvalidp1x (ptl_mapvalid[3]));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9116
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9117 Note the @ character was replaced with the 2 from \"ms2m\".
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9118
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9119 Alternatively, using a regular expression for @:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9120
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9121 /* InstModule AUTO_TEMPLATE \"_\\([a-z]+\\)\" (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9122 .ptl_mapvalidx (@_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9123 .ptl_mapvalidp1x (ptl_mapvalid_@),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9124 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9125 */
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9126 InstModule ms2_FOO (/*AUTOINST*/);
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9127 InstModule ms2_BAR (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9128
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9129 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9130
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9131 InstModule ms2_FOO (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9132 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9133 .ptl_mapvalidx (FOO_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9134 .ptl_mapvalidp1x (ptl_mapvalid_FOO));
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9135 InstModule ms2_BAR (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9136 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9137 .ptl_mapvalidx (BAR_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9138 .ptl_mapvalidp1x (ptl_mapvalid_BAR));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9139
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9140
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9141 Regexp Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9142
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9143 A template entry of the form
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9144
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9145 .pci_req\\([0-9]+\\)_l (pci_req_jtag_[\\1]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9146
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9147 will apply an Emacs style regular expression search for any port beginning
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9148 in pci_req followed by numbers and ending in _l and connecting that to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9149 the pci_req_jtag_[] net, with the bus subscript coming from what matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9150 inside the first set of \\( \\). Thus pci_req2_l becomes pci_req_jtag_[2].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9151
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9152 Since \\([0-9]+\\) is so common and ugly to read, a @ in the port name
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9153 does the same thing. (Note a @ in the connection/replacement text is
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9154 completely different -- still use \\1 there!) Thus this is the same as
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9155 the above template:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9156
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9157 .pci_req@_l (pci_req_jtag_[\\1]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9158
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9159 Here's another example to remove the _l, useful when naming conventions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9160 specify _ alone to mean active low. Note the use of [] to keep the bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9161 subscript:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9162
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9163 .\\(.*\\)_l (\\1_[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9164
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9165 Lisp Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9166
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9167 First any regular expression template is expanded.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9168
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9169 If the syntax @\"( ... )\" is found in a connection, the expression in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9170 quotes will be evaluated as a Lisp expression, with @ replaced by the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9171 instantiation number. The MAPVALIDP1X example above would put @+1 modulo
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9172 4 into the brackets. Quote all double-quotes inside the expression with
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9173 a leading backslash (\\\"...\\\"); or if the Lisp template is also a
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9174 regexp template backslash the backslash quote (\\\\\"...\\\\\").
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9175
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9176 There are special variables defined that are useful in these
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9177 Lisp functions:
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9178
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9179 vl-name Name portion of the input/output port.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9180 vl-bits Bus bits portion of the input/output port ('[2:0]').
107793
d3738a1728c6 * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106815
diff changeset
9181 vl-mbits Multidimensional array bits for port ('[2:0][3:0]').
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9182 vl-width Width of the input/output port ('3' for [2:0]).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9183 May be a (...) expression if bits isn't a constant.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9184 vl-dir Direction of the pin input/output/inout/interface.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9185 vl-modport The modport, if an interface with a modport.
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9186 vl-cell-type Module name/type of the cell ('InstModule').
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9187 vl-cell-name Instance name of the cell ('instName').
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9188
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9189 Normal Lisp variables may be used in expressions. See
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9190 `verilog-read-defines' which can set vh-{definename} variables for use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9191 here. Also, any comments of the form:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9192
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9193 /*AUTO_LISP(setq foo 1)*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9194
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9195 will evaluate any Lisp expression inside the parenthesis between the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9196 beginning of the buffer and the point of the AUTOINST. This allows
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9197 functions to be defined or variables to be changed between instantiations.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9198 (See also `verilog-auto-insert-lisp' if you want the output from your
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9199 lisp function to be inserted.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9200
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9201 Note that when using lisp expressions errors may occur when @ is not a
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9202 number; you may need to use the standard Emacs Lisp functions
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9203 `number-to-string' and `string-to-number'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9204
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9205 After the evaluation is completed, @ substitution and [] substitution
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9206 occur.
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9207
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9208 For more information see the \\[verilog-faq] and forums at URL
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
9209 `http://www.veripool.org'."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9210 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9211 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9212 (let* ((pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9213 (for-star (save-excursion (backward-char 2) (looking-at "\\.\\*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9214 (indent-pt (save-excursion (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9215 (1+ (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9216 (verilog-auto-inst-column (max verilog-auto-inst-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9217 (+ 16 (* 8 (/ (+ indent-pt 7) 8)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9218 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9219 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9220 (vector-skip-list (unless verilog-auto-inst-vector
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9221 (verilog-decls-get-signals moddecls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9222 submod submodi submoddecls
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9223 inst skip-pins tpl-list tpl-num did-first par-values)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9224
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9225 ;; Find module name that is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9226 (setq submod (verilog-read-inst-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9227 inst (verilog-read-inst-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9228 vl-cell-type submod
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9229 vl-cell-name inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9230 skip-pins (aref (verilog-read-inst-pins) 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9231
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9232 ;; Parse any AUTO_LISP() before here
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9233 (verilog-read-auto-lisp (point-min) pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9234
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9235 ;; Read parameters (after AUTO_LISP)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9236 (setq par-values (and verilog-auto-inst-param-value
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9237 (verilog-read-inst-param-value)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9238
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9239 ;; Lookup position, etc of submodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9240 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9241 (when (setq submodi (verilog-modi-lookup submod t))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9242 (setq submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9243 ;; If there's a number in the instantiation, it may be a argument to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9244 ;; automatic variable instantiation program.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9245 (let* ((tpl-info (verilog-read-auto-template submod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9246 (tpl-regexp (aref tpl-info 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9247 (setq tpl-num (if (string-match tpl-regexp inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9248 (match-string 1 inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9249 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9250 tpl-list (aref tpl-info 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9251 ;; Find submodule's signals and dump
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9252 (let ((sig-list (verilog-signals-not-in
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9253 (verilog-decls-get-interfaces submoddecls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9254 skip-pins))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9255 (vl-dir "interface"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9256 (when sig-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9257 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9258 (indent-to indent-pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9259 ;; Note these are searched for in verilog-read-sub-decls.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9260 (insert "// Interfaces\n")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9261 (mapc (lambda (port)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9262 (verilog-auto-inst-port port indent-pt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9263 tpl-list tpl-num for-star par-values))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9264 sig-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9265 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9266 (verilog-decls-get-outputs submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9267 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9268 (vl-dir "output"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9269 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9270 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9271 (indent-to indent-pt)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9272 (insert "// Outputs\n")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9273 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9274 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9275 tpl-list tpl-num for-star par-values))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9276 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9277 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9278 (verilog-decls-get-inouts submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9279 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9280 (vl-dir "inout"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9281 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9282 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9283 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9284 (insert "// Inouts\n")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9285 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9286 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9287 tpl-list tpl-num for-star par-values))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9288 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9289 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9290 (verilog-decls-get-inputs submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9291 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9292 (vl-dir "input"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9293 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9294 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9295 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9296 (insert "// Inputs\n")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9297 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9298 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9299 tpl-list tpl-num for-star par-values))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9300 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9301 ;; Kill extra semi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9302 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9303 (cond (did-first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9304 (re-search-backward "," pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9305 (delete-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9306 (insert ");")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9307 (search-forward "\n") ;; Added by inst-port
108765
d835100c3e8b Replace Lisp calls to delete-backward-char by delete-char.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 107865
diff changeset
9308 (delete-char -1)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9309 (if (search-forward ")" nil t) ;; From user, moved up a line
108765
d835100c3e8b Replace Lisp calls to delete-backward-char by delete-char.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 107865
diff changeset
9310 (delete-char -1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9311 (if (search-forward ";" nil t) ;; Don't error if user had syntax error and forgot it
108765
d835100c3e8b Replace Lisp calls to delete-backward-char by delete-char.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 107865
diff changeset
9312 (delete-char -1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9313
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9314 (defun verilog-auto-inst-param ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9315 "Expand AUTOINSTPARAM statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9316 Replace the parameter connections to an instantiation with ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9317 automatically derived from the module header of the instantiated netlist.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9318
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9319 See \\[verilog-auto-inst] for limitations, and templates to customize the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9320 output.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9321
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9322 For example, first take the submodule InstModule.v:
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9323
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9324 module InstModule (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9325 parameter PAR;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9326 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9327
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9328 This is then used in a upper level module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9329
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9330 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9331 parameter PAR;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9332 InstModule #(/*AUTOINSTPARAM*/)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9333 instName (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9334 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9335
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9336 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9337
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9338 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9339 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9340 input i;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9341 InstModule #(/*AUTOINSTPARAM*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9342 // Parameters
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9343 .PAR (PAR));
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9344 instName (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9345 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9346
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9347 Where the list of parameter connections come from the inst module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9348
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9349 Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9350
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9351 You can customize the parameter connections using AUTO_TEMPLATEs,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9352 just as you would with \\[verilog-auto-inst]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9353 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9354 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9355 (let* ((pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9356 (indent-pt (save-excursion (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9357 (1+ (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9358 (verilog-auto-inst-column (max verilog-auto-inst-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9359 (+ 16 (* 8 (/ (+ indent-pt 7) 8)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9360 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9361 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9362 (vector-skip-list (unless verilog-auto-inst-vector
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9363 (verilog-decls-get-signals moddecls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9364 submod submodi submoddecls
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9365 inst skip-pins tpl-list tpl-num did-first)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9366 ;; Find module name that is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9367 (setq submod (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9368 ;; Get to the point where AUTOINST normally is to read the module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9369 (verilog-re-search-forward-quick "[(;]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9370 (verilog-read-inst-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9371 inst (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9372 ;; Get to the point where AUTOINST normally is to read the module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9373 (verilog-re-search-forward-quick "[(;]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9374 (verilog-read-inst-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9375 vl-cell-type submod
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9376 vl-cell-name inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9377 skip-pins (aref (verilog-read-inst-pins) 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9378
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9379 ;; Parse any AUTO_LISP() before here
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9380 (verilog-read-auto-lisp (point-min) pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9381
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9382 ;; Lookup position, etc of submodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9383 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9384 (when (setq submodi (verilog-modi-lookup submod t))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9385 (setq submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9386 ;; If there's a number in the instantiation, it may be a argument to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9387 ;; automatic variable instantiation program.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9388 (let* ((tpl-info (verilog-read-auto-template submod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9389 (tpl-regexp (aref tpl-info 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9390 (setq tpl-num (if (string-match tpl-regexp inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9391 (match-string 1 inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9392 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9393 tpl-list (aref tpl-info 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9394 ;; Find submodule's signals and dump
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9395 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9396 (verilog-decls-get-gparams submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9397 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9398 (vl-dir "parameter"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9399 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9400 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9401 (indent-to indent-pt)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9402 ;; Note these are searched for in verilog-read-sub-decls.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9403 (insert "// Parameters\n")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9404 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9405 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9406 tpl-list tpl-num nil nil))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9407 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9408 ;; Kill extra semi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9409 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9410 (cond (did-first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9411 (re-search-backward "," pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9412 (delete-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9413 (insert ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9414 (search-forward "\n") ;; Added by inst-port
108765
d835100c3e8b Replace Lisp calls to delete-backward-char by delete-char.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 107865
diff changeset
9415 (delete-char -1)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9416 (if (search-forward ")" nil t) ;; From user, moved up a line
108765
d835100c3e8b Replace Lisp calls to delete-backward-char by delete-char.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 107865
diff changeset
9417 (delete-char -1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9418
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9419 (defun verilog-auto-reg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9420 "Expand AUTOREG statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9421 Make reg statements for any output that isn't already declared,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9422 and isn't a wire output from a block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9423
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9424 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9425 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9426
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9427 This does NOT work on memories, declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9428
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9429 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9430
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9431 module ExampReg (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9432 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9433 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9434 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9435 always o = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9436 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9437
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9438 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9439
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9440 module ExampReg (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9441 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9442 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9443 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9444 // Beginning of automatic regs (for this module's undeclared outputs)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9445 reg o;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9446 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9447 always o = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9448 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9449 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9450 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9451 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9452 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9453 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9454 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9455 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9456 (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9457 (append (verilog-decls-get-wires moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9458 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9459 (verilog-decls-get-assigns moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9460 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9461 (verilog-decls-get-gparams moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9462 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9463 (verilog-subdecls-get-inouts modsubdecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9464 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9465 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9466 (verilog-insert-indent "// Beginning of automatic regs (for this module's undeclared outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9467 (verilog-insert-definition sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9468 (verilog-modi-cache-add-regs modi sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9469 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9470
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9471 (defun verilog-auto-reg-input ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9472 "Expand AUTOREGINPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9473 Make reg statements instantiation inputs that aren't already declared.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9474 This is useful for making a top level shell for testing the module that is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9475 to be instantiated.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9476
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9477 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9478 This ONLY detects inputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9479
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9480 This does NOT work on memories, declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9481
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9482 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9483
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9484 module ExampRegInput (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9485 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9486 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9487 /*AUTOREGINPUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9488 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9489 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9490 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9491
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9492 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9493
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9494 module ExampRegInput (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9495 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9496 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9497 /*AUTOREGINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9498 // Beginning of automatic reg inputs (for undeclared ...
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9499 reg [31:0] iv; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9500 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9501 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9502 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9503 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9504 .o (o[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9505 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9506 .iv (iv));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9507 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9508 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9509 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9510 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9511 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9512 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9513 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9514 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9515 (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9516 (append (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9517 (verilog-subdecls-get-inouts modsubdecls))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9518 (verilog-decls-get-signals moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9519 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9520 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9521 (verilog-insert-indent "// Beginning of automatic reg inputs (for undeclared instantiated-module inputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9522 (verilog-insert-definition sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9523 (verilog-modi-cache-add-regs modi sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9524 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9525
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9526 (defun verilog-auto-wire ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9527 "Expand AUTOWIRE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9528 Make wire statements for instantiations outputs that aren't
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9529 already declared.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9530
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9531 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9532 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls'),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9533 and all busses must have widths, such as those from AUTOINST, or using []
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9534 in AUTO_TEMPLATEs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9535
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9536 This does NOT work on memories or SystemVerilog .name connections,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9537 declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9538
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9539 Verilog mode will add \"Couldn't Merge\" comments to signals it cannot
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9540 determine how to bus together. This occurs when you have ports with
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9541 non-numeric or non-sequential bus subscripts. If Verilog mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9542 mis-guessed, you'll have to declare them yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9543
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9544 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9545
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9546 module ExampWire (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9547 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9548 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9549 /*AUTOWIRE*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9550 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9551 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9552 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9553
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9554 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9555
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9556 module ExampWire (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9557 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9558 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9559 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9560 // Beginning of automatic wires
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9561 wire [31:0] ov; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9562 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9563 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9564 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9565 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9566 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9567 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9568 .i (i));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9569 wire o = | ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9570 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9571 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9572 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9573 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9574 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9575 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9576 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9577 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9578 (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9579 (append (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9580 (verilog-subdecls-get-inouts modsubdecls))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9581 (verilog-decls-get-signals moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9582 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9583 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9584 (verilog-insert-indent "// Beginning of automatic wires (for undeclared instantiated-module outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9585 (verilog-insert-definition sig-list "wire" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9586 (verilog-modi-cache-add-wires modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9587 (verilog-insert-indent "// End of automatics\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9588 (when nil ;; Too slow on huge modules, plus makes everyone's module change
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9589 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9590 (setq pnt (point))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
9591 (verilog-pretty-declarations quiet)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9592 (goto-char pnt)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9593 (verilog-pretty-expr t "//"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9594
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9595 (defun verilog-auto-output (&optional with-params)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9596 "Expand AUTOOUTPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9597 Make output statements for any output signal from an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9598 isn't a input to another AUTOINST. This is useful for modules which
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9599 only instantiate other modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9600
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9601 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9602 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9603
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9604 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9605 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9606
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9607 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9608 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9609
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9610 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9611
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9612 Signals matching `verilog-auto-output-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9613
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9614 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9615
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9616 module ExampOutput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9617 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9618 /*AUTOOUTPUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9619 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9620 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9621 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9622
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9623 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9624
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9625 module ExampOutput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9626 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9627 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9628 // Beginning of automatic outputs (from unused autoinst outputs)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9629 output [31:0] ov; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9630 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9631 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9632 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9633 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9634 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9635 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9636 .i (i));
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9637 endmodule
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9638
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9639 You may also provide an optional regular expression, in which case only
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9640 signals matching the regular expression will be included. For example the
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9641 same expansion will result from only extracting outputs starting with ov:
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9642
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9643 /*AUTOOUTPUT(\"^ov\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9644 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9645 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9646 (let* ((indent-pt (current-indentation))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9647 (regexp (and with-params
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9648 (nth 0 (verilog-read-auto-params 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9649 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9650 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9651 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9652 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9653 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9654 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9655 (append (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9656 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9657 (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9658 (verilog-subdecls-get-inouts modsubdecls)))))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9659 (when regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9660 (setq sig-list (verilog-signals-matching-regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9661 sig-list regexp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9662 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9663 sig-list verilog-auto-output-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9664 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9665 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9666 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9667 (verilog-insert-indent "// Beginning of automatic outputs (from unused autoinst outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9668 (verilog-insert-definition sig-list "output" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9669 (verilog-modi-cache-add-outputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9670 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9671 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9672
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9673 (defun verilog-auto-output-every ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9674 "Expand AUTOOUTPUTEVERY statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9675 Make output statements for any signals that aren't primary inputs or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9676 outputs already. This makes every signal in the design a output. This is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9677 useful to get Synopsys to preserve every signal in the design, since it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9678 won't optimize away the outputs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9679
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9680 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9681
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9682 module ExampOutputEvery (o,i,tempa,tempb)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9683 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9684 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9685 /*AUTOOUTPUTEVERY*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9686 wire tempa = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9687 wire tempb = tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9688 wire o = tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9689 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9690
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9691 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9692
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9693 module ExampOutputEvery (o,i,tempa,tempb)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9694 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9695 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9696 /*AUTOOUTPUTEVERY*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9697 // Beginning of automatic outputs (every signal)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9698 output tempb;
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9699 output tempa;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9700 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9701 wire tempa = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9702 wire tempb = tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9703 wire o = tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9704 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9705 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9706 ;;Point must be at insertion point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9707 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9708 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9709 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9710 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9711 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9712 (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9713 (verilog-decls-get-signals moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9714 (verilog-decls-get-ports moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9715 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9716 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9717 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9718 (verilog-insert-indent "// Beginning of automatic outputs (every signal)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9719 (verilog-insert-definition sig-list "output" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9720 (verilog-modi-cache-add-outputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9721 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9722 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9723
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9724 (defun verilog-auto-input (&optional with-params)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9725 "Expand AUTOINPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9726 Make input statements for any input signal into an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9727 isn't declared elsewhere inside the module. This is useful for modules which
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9728 only instantiate other modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9729
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9730 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9731 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9732
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9733 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9734 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9735
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9736 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9737 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9738
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9739 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9740
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9741 Signals matching `verilog-auto-input-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9742
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9743 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9744
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9745 module ExampInput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9746 output [31:0] ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9747 /*AUTOINPUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9748 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9749 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9750 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9751
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9752 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9753
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9754 module ExampInput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9755 output [31:0] ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9756 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9757 // Beginning of automatic inputs (from unused autoinst inputs)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9758 input i; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9759 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9760 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9761 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9762 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9763 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9764 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9765 .i (i));
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9766 endmodule
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9767
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9768 You may also provide an optional regular expression, in which case only
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9769 signals matching the regular expression will be included. For example the
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9770 same expansion will result from only extracting inputs starting with i:
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9771
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9772 /*AUTOINPUT(\"^i\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9773 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9774 (let* ((indent-pt (current-indentation))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9775 (regexp (and with-params
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9776 (nth 0 (verilog-read-auto-params 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9777 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9778 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9779 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9780 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9781 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9782 (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9783 (append (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9784 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9785 (verilog-decls-get-wires moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9786 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9787 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9788 (verilog-decls-get-gparams moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9789 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9790 (verilog-subdecls-get-inouts modsubdecls)))))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9791 (when regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9792 (setq sig-list (verilog-signals-matching-regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9793 sig-list regexp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9794 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9795 sig-list verilog-auto-input-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9796 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9797 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9798 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9799 (verilog-insert-indent "// Beginning of automatic inputs (from unused autoinst inputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9800 (verilog-insert-definition sig-list "input" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9801 (verilog-modi-cache-add-inputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9802 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9803 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9804
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9805 (defun verilog-auto-inout (&optional with-params)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9806 "Expand AUTOINOUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9807 Make inout statements for any inout signal in an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9808 isn't declared elsewhere inside the module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9810 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9811 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9812
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9813 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9814 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9815
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9816 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9817 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9818
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9819 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9820
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9821 Signals matching `verilog-auto-inout-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9822
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9823 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9824
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9825 module ExampInout (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9826 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9827 /*AUTOINOUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9828 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9829 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9830 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9831
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9832 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9833
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9834 module ExampInout (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9835 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9836 /*AUTOINOUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9837 // Beginning of automatic inouts (from unused autoinst inouts)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9838 inout [31:0] ov; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9839 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9840 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9841 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9842 // Inouts
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9843 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9844 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9845 .i (i));
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9846 endmodule
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9847
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9848 You may also provide an optional regular expression, in which case only
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9849 signals matching the regular expression will be included. For example the
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9850 same expansion will result from only extracting inouts starting with i:
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9851
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9852 /*AUTOINOUT(\"^i\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9853 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9854 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9855 (let* ((indent-pt (current-indentation))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9856 (regexp (and with-params
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9857 (nth 0 (verilog-read-auto-params 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9858 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9859 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9860 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9861 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9862 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9863 (verilog-subdecls-get-inouts modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9864 (append (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9865 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9866 (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9867 (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9868 (verilog-subdecls-get-outputs modsubdecls)))))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9869 (when regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9870 (setq sig-list (verilog-signals-matching-regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9871 sig-list regexp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9872 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9873 sig-list verilog-auto-inout-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9874 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9875 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9876 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9877 (verilog-insert-indent "// Beginning of automatic inouts (from unused autoinst inouts)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9878 (verilog-insert-definition sig-list "inout" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9879 (verilog-modi-cache-add-inouts modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9880 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9881 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9882
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9883 (defun verilog-auto-inout-module (&optional complement)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9884 "Expand AUTOINOUTMODULE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9885 Take input/output/inout statements from the specified module and insert
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9886 into the current module. This is useful for making null templates and
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9887 shell modules which need to have identical I/O with another module.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9888 Any I/O which are already defined in this module will not be redefined.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9889
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9890 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9891 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9892 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9893
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9894 Concatenation and outputting partial busses is not supported.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9895
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9896 Module names must be resolvable to filenames. See `verilog-auto-inst'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9897
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9898 Signals are not inserted in the same order as in the original module,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9899 though they will appear to be in the same order to a AUTOINST
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9900 instantiating either module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9901
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9902 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9903
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9904 module ExampShell (/*AUTOARG*/)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9905 /*AUTOINOUTMODULE(\"ExampMain\")*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9906 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9907
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9908 module ExampMain (i,o,io)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9909 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9910 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9911 inout io;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9912 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9913
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9914 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9915
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9916 module ExampShell (/*AUTOARG*/i,o,io)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9917 /*AUTOINOUTMODULE(\"ExampMain\")*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9918 // Beginning of automatic in/out/inouts (from specific module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9919 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9920 inout io;
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9921 input i;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9922 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9923 endmodule
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9924
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9925 You may also provide an optional regular expression, in which case only
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9926 signals matching the regular expression will be included. For example the
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9927 same expansion will result from only extracting signals starting with i:
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9928
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9929 /*AUTOINOUTMODULE(\"ExampMain\",\"^i\")*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9930
105864
c6d77597d0f2 * verilog-mode.el (verilog-getopt-file, verilog-set-define):
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 105813
diff changeset
9931 You may also provide an optional second regular expression, in
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9932 which case only signals which have that pin direction and data
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9933 type will be included. This matches against everything before
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9934 the signal name in the declaration, for example against
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9935 \"input\" (single bit), \"output logic\" (direction and type) or
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9936 \"output [1:0]\" (direction and implicit type). You also
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9937 probably want to skip spaces in your regexp.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9938
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9939 For example, the below will result in matching the output \"o\"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9940 against the previous example's module:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9941
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9942 /*AUTOINOUTMODULE(\"ExampMain\",\"\",\"^output.*\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9943 (save-excursion
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9944 (let* ((params (verilog-read-auto-params 1 3))
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9945 (submod (nth 0 params))
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9946 (regexp (nth 1 params))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9947 (direction-re (nth 2 params))
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9948 submodi)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9949 ;; Lookup position, etc of co-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9950 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9951 (when (setq submodi (verilog-modi-lookup submod t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9952 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9953 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9954 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9955 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9956 (submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9957 (sig-list-i (verilog-signals-not-in
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9958 (if complement
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9959 (verilog-decls-get-outputs submoddecls)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9960 (verilog-decls-get-inputs submoddecls))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9961 (append (verilog-decls-get-inputs moddecls))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9962 (sig-list-o (verilog-signals-not-in
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9963 (if complement
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9964 (verilog-decls-get-inputs submoddecls)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9965 (verilog-decls-get-outputs submoddecls))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9966 (append (verilog-decls-get-outputs moddecls))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9967 (sig-list-io (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9968 (verilog-decls-get-inouts submoddecls)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9969 (append (verilog-decls-get-inouts moddecls))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9970 (sig-list-if (verilog-signals-not-in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9971 (verilog-decls-get-interfaces submoddecls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9972 (append (verilog-decls-get-interfaces moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9973 (forward-line 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9974 (setq sig-list-i (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9975 (verilog-signals-matching-regexp sig-list-i regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9976 "input" direction-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9977 sig-list-o (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9978 (verilog-signals-matching-regexp sig-list-o regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9979 "output" direction-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9980 sig-list-io (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9981 (verilog-signals-matching-regexp sig-list-io regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9982 "inout" direction-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9983 sig-list-if (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9984 (verilog-signals-matching-regexp sig-list-if regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9985 "interface" direction-re))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9986 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9987 (when (or sig-list-i sig-list-o sig-list-io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9988 (verilog-insert-indent "// Beginning of automatic in/out/inouts (from specific module)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9989 ;; Don't sort them so a upper AUTOINST will match the main module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9990 (verilog-insert-definition sig-list-o "output" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9991 (verilog-insert-definition sig-list-io "inout" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9992 (verilog-insert-definition sig-list-i "input" indent-pt v2k t)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9993 (verilog-insert-definition sig-list-if "interface" indent-pt v2k t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9994 (verilog-modi-cache-add-inputs modi sig-list-i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9995 (verilog-modi-cache-add-outputs modi sig-list-o)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9996 (verilog-modi-cache-add-inouts modi sig-list-io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9997 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9998 (when v2k (verilog-repair-close-comma)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9999
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10000 (defun verilog-auto-inout-comp ()
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10001 "Expand AUTOINOUTCOMP statements, as part of \\[verilog-auto].
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10002 Take input/output/inout statements from the specified module and
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10003 insert the inverse into the current module (inputs become outputs
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10004 and vice-versa.) This is useful for making test and stimulus
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10005 modules which need to have complementing I/O with another module.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10006 Any I/O which are already defined in this module will not be
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10007 redefined.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10008
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10009 Limitations:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10010 If placed inside the parenthesis of a module declaration, it creates
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10011 Verilog 2001 style, else uses Verilog 1995 style.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10012
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10013 Concatenation and outputting partial busses is not supported.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10014
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10015 Module names must be resolvable to filenames. See `verilog-auto-inst'.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10016
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10017 Signals are not inserted in the same order as in the original module,
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10018 though they will appear to be in the same order to a AUTOINST
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10019 instantiating either module.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10020
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10021 An example:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10022
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10023 module ExampShell (/*AUTOARG*/)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10024 /*AUTOINOUTCOMP(\"ExampMain\")*/
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10025 endmodule
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10026
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10027 module ExampMain (i,o,io)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10028 input i;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10029 output o;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10030 inout io;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10031 endmodule
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10032
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10033 Typing \\[verilog-auto] will make this into:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10034
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10035 module ExampShell (/*AUTOARG*/i,o,io)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10036 /*AUTOINOUTCOMP(\"ExampMain\")*/
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10037 // Beginning of automatic in/out/inouts (from specific module)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10038 output i;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10039 inout io;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10040 input o;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10041 // End of automatics
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10042 endmodule
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10043
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10044 You may also provide an optional regular expression, in which case only
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10045 signals matching the regular expression will be included. For example the
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10046 same expansion will result from only extracting signals starting with i:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10047
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10048 /*AUTOINOUTCOMP(\"ExampMain\",\"^i\")*/"
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10049 (verilog-auto-inout-module t))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10050
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10051 (defun verilog-auto-insert-lisp ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10052 "Expand AUTOINSERTLISP statements, as part of \\[verilog-auto].
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10053 The Lisp code provided is called, and the Lisp code calls
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10054 `insert` to insert text into the current file beginning on the
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10055 line after the AUTOINSERTLISP.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10056
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10057 See also AUTO_LISP, which takes a Lisp expression and evaluates
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10058 it during `verilog-auto-inst' but does not insert any text.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10059
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10060 An example:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10061
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10062 module ExampInsertLisp;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10063 /*AUTOINSERTLISP(my-verilog-insert-hello \"world\")*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10064 endmodule
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10065
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10066 // For this example we declare the function in the
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10067 // module's file itself. Often you'd define it instead
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10068 // in a site-start.el or .emacs file.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10069 /*
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10070 Local Variables:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10071 eval:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10072 (defun my-verilog-insert-hello (who)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10073 (insert (concat \"initial $write(\\\"hello \" who \"\\\");\\n\")))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10074 End:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10075 */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10076
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10077 Typing \\[verilog-auto] will call my-verilog-insert-hello and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10078 expand the above into:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10079
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10080 // Beginning of automatic insert lisp
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10081 initial $write(\"hello world\");
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10082 // End of automatics
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10083
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10084 You can also call an external program and insert the returned
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10085 text:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10086
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10087 /*AUTOINSERTLISP(insert (shell-command-to-string \"echo //hello\"))*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10088 // Beginning of automatic insert lisp
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10089 //hello
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10090 // End of automatics"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10091 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10092 ;; Point is at end of /*AUTO...*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10093 (let* ((indent-pt (current-indentation))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10094 (cmd-end-pt (save-excursion (search-backward ")")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10095 (forward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10096 (point))) ;; Closing paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10097 (cmd-beg-pt (save-excursion (goto-char cmd-end-pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10098 (backward-sexp 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10099 (point))) ;; Beginning paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10100 (cmd (buffer-substring-no-properties cmd-beg-pt cmd-end-pt)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10101 (forward-line 1)
106265
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10102 ;; Some commands don't move point (like insert-file) so we always
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10103 ;; add the begin/end comments, then delete it if not needed
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10104 (verilog-insert-indent "// Beginning of automatic insert lisp\n")
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10105 (verilog-insert-indent "// End of automatics\n")
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10106 (forward-line -1)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10107 (eval (read cmd))
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10108 (forward-line -1)
fbb51bb535e8 * verilog-mode.el (verilog-at-struct-p): Support "signed" and
Dan Nicolaescu <dann@ics.uci.edu>
parents: 105864
diff changeset
10109 (verilog-delete-empty-auto-pair))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10110
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10111 (defun verilog-auto-sense-sigs (moddecls presense-sigs)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10112 "Return list of signals for current AUTOSENSE block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10113 (let* ((sigss (verilog-read-always-signals))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10114 (sig-list (verilog-signals-not-params
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10115 (verilog-signals-not-in (verilog-alw-get-inputs sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10116 (append (and (not verilog-auto-sense-include-inputs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10117 (verilog-alw-get-outputs sigss))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10118 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10119 (verilog-decls-get-gparams moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10120 presense-sigs)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10121 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10122
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10123 (defun verilog-auto-sense ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10124 "Expand AUTOSENSE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10125 Replace the always (/*AUTOSENSE*/) sensitivity list (/*AS*/ for short)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10126 with one automatically derived from all inputs declared in the always
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10127 statement. Signals that are generated within the same always block are NOT
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10128 placed into the sensitivity list (see `verilog-auto-sense-include-inputs').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10129 Long lines are split based on the `fill-column', see \\[set-fill-column].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10130
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10131 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10132 Verilog does not allow memories (multidimensional arrays) in sensitivity
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10133 lists. AUTOSENSE will thus exclude them, and add a /*memory or*/ comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10134
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10135 Constant signals:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10136 AUTOSENSE cannot always determine if a `define is a constant or a signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10137 (it could be in a include file for example). If a `define or other signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10138 is put into the AUTOSENSE list and is not desired, use the AUTO_CONSTANT
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10139 declaration anywhere in the module (parenthesis are required):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10140
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10141 /* AUTO_CONSTANT ( `this_is_really_constant_dont_autosense_it ) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10142
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10143 Better yet, use a parameter, which will be understood to be constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10144 automatically.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10145
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10146 OOps!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10147 If AUTOSENSE makes a mistake, please report it. (First try putting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10148 a begin/end after your always!) As a workaround, if a signal that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10149 shouldn't be in the sensitivity list was, use the AUTO_CONSTANT above.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10150 If a signal should be in the sensitivity list wasn't, placing it before
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10151 the /*AUTOSENSE*/ comment will prevent it from being deleted when the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10152 autos are updated (or added if it occurs there already).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10153
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10154 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10155
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10156 always @ (/*AS*/) begin
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10157 /* AUTO_CONSTANT (`constant) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10158 outin = ina | inb | `constant;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10159 out = outin;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10160 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10161
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10162 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10163
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10164 always @ (/*AS*/ina or inb) begin
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10165 /* AUTO_CONSTANT (`constant) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10166 outin = ina | inb | `constant;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10167 out = outin;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10168 end
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10169
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10170 Note in Verilog 2001, you can often get the same result from the new @*
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10171 operator. (This was added to the language in part due to AUTOSENSE!)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10172
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10173 always @* begin
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10174 outin = ina | inb | `constant;
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10175 out = outin;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10176 end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10177 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10178 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10179 (let* ((start-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10180 (verilog-re-search-backward "(" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10181 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10182 (indent-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10183 (or (and (goto-char start-pt) (1+ (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10184 (current-indentation))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10185 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10186 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10187 (sig-memories (verilog-signals-memory
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10188 (append
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10189 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10190 (verilog-decls-get-wires moddecls))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10191 sig-list not-first presense-sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10192 ;; Read signals in always, eliminate outputs from sense list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10193 (setq presense-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10194 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10195 (verilog-read-signals start-pt (point)))))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10196 (setq sig-list (verilog-auto-sense-sigs moddecls presense-sigs))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10197 (when sig-memories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10198 (let ((tlen (length sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10199 (setq sig-list (verilog-signals-not-in sig-list sig-memories))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10200 (if (not (eq tlen (length sig-list))) (insert " /*memory or*/ "))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10201 (if (and presense-sigs ;; Add a "or" if not "(.... or /*AUTOSENSE*/"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10202 (save-excursion (goto-char (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10203 (verilog-re-search-backward "[a-zA-Z0-9$_.%`]+" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10204 (verilog-re-search-backward "\\s-" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10205 (while (looking-at "\\s-`endif")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10206 (verilog-re-search-backward "[a-zA-Z0-9$_.%`]+" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10207 (verilog-re-search-backward "\\s-" start-pt t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10208 (not (looking-at "\\s-or\\b"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10209 (setq not-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10210 (setq sig-list (sort sig-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10211 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10212 (cond ((> (+ 4 (current-column) (length (verilog-sig-name (car sig-list)))) fill-column) ;+4 for width of or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10213 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10214 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10215 (if not-first (insert "or ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10216 (not-first (insert " or ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10217 (insert (verilog-sig-name (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10218 (setq sig-list (cdr sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10219 not-first t)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10220
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10221 (defun verilog-auto-reset ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10222 "Expand AUTORESET statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10223 Replace the /*AUTORESET*/ comment with code to initialize all
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10224 registers set elsewhere in the always block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10225
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10226 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10227 AUTORESET will not clear memories.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10228
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
10229 AUTORESET uses <= if there are any <= assignments in the block,
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10230 else it uses =.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10231
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10232 /*AUTORESET*/ presumes that any signals mentioned between the previous
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10233 begin/case/if statement and the AUTORESET comment are being reset manually
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10234 and should not be automatically reset. This includes omitting any signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10235 used on the right hand side of assignments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10236
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10237 By default, AUTORESET will include the width of the signal in the autos,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10238 this is a recent change. To control this behavior, see
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10239 `verilog-auto-reset-widths'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10240
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10241 AUTORESET ties signals to deasserted, which is presumed to be zero.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10242 Signals that match `verilog-active-low-regexp' will be deasserted by tieing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10243 them to a one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10244
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10245 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10246
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10247 always @(posedge clk or negedge reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10248 if (!reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10249 c <= 1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10250 /*AUTORESET*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10251 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10252 else begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10253 a <= in_a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10254 b <= in_b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10255 c <= in_c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10256 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10257 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10258
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10259 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10260
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10261 always @(posedge core_clk or negedge reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10262 if (!reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10263 c <= 1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10264 /*AUTORESET*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10265 // Beginning of autoreset for uninitialized flops
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10266 a <= 0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10267 b <= 0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10268 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10269 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10270 else begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10271 a <= in_a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10272 b <= in_b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10273 c <= in_c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10274 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10275 end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10276
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10277 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10278 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10279 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10280 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10281 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10282 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10283 (all-list (verilog-decls-get-signals moddecls))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10284 sigss sig-list prereset-sigs assignment-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10285 ;; Read signals in always, eliminate outputs from reset list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10286 (setq prereset-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10287 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10288 (verilog-read-signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10289 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10290 (verilog-re-search-backward "\\(@\\|\\<begin\\>\\|\\<if\\>\\|\\<case\\>\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10291 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10292 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10293 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10294 (verilog-re-search-backward "@" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10295 (setq sigss (verilog-read-always-signals)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10296 (setq assignment-str (if (verilog-alw-get-uses-delayed sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10297 (concat " <= " verilog-assignment-delay)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10298 " = "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10299 (setq sig-list (verilog-signals-not-in (verilog-alw-get-outputs sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10300 prereset-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10301 (setq sig-list (sort sig-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10302 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10303 (insert "\n");
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10304 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10305 (insert "// Beginning of autoreset for uninitialized flops\n");
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10306 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10307 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10308 (let ((sig (or (assoc (verilog-sig-name (car sig-list)) all-list) ;; As sig-list has no widths
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10309 (car sig-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10310 (insert (verilog-sig-name sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10311 assignment-str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10312 (verilog-sig-tieoff sig (not verilog-auto-reset-widths))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10313 ";\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10314 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10315 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10316 (insert "// End of automatics")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10317
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10318 (defun verilog-auto-tieoff ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10319 "Expand AUTOTIEOFF statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10320 Replace the /*AUTOTIEOFF*/ comment with code to wire-tie all unused output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10321 signals to deasserted.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10322
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10323 /*AUTOTIEOFF*/ is used to make stub modules; modules that have the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10324 input/output list as another module, but no internals. Specifically, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10325 finds all outputs in the module, and if that input is not otherwise declared
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10326 as a register or wire, creates a tieoff.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10327
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10328 AUTORESET ties signals to deasserted, which is presumed to be zero.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10329 Signals that match `verilog-active-low-regexp' will be deasserted by tieing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10330 them to a one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10331
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10332 An example of making a stub for another module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10333
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10334 module ExampStub (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10335 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10336 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10337 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10338 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10339 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10340 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10341 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10342 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10343
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10344 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10345
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10346 module ExampStub (/*AUTOINST*/...);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10347 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10348 // Beginning of autotieoff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10349 output [2:0] foo;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10350 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10351
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10352 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10353 // Beginning of autotieoff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10354 wire [2:0] foo = 3'b0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10355 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10356 ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10357 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10358 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10359 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10360 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10361 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10362 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10363 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10364 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10365 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10366 (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10367 (append (verilog-decls-get-wires moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10368 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10369 (verilog-decls-get-assigns moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10370 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10371 (verilog-decls-get-gparams moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10372 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10373 (verilog-subdecls-get-inouts modsubdecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10374 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10375 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10376 (verilog-insert-indent "// Beginning of automatic tieoffs (for this module's unterminated outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10377 (setq sig-list (sort (copy-alist sig-list) `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10378 (verilog-modi-cache-add-wires modi sig-list) ; Before we trash list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10379 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10380 (let ((sig (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10381 (verilog-insert-one-definition sig "wire" indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10382 (indent-to (max 48 (+ indent-pt 40)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10383 (insert "= " (verilog-sig-tieoff sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10384 ";\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10385 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10386 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10387
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10388 (defun verilog-auto-unused ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10389 "Expand AUTOUNUSED statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10390 Replace the /*AUTOUNUSED*/ comment with a comma separated list of all unused
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10391 input and inout signals.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10392
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10393 /*AUTOUNUSED*/ is used to make stub modules; modules that have the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10394 input/output list as another module, but no internals. Specifically, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10395 finds all inputs and inouts in the module, and if that input is not otherwise
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10396 used, adds it to a comma separated list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10397
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10398 The comma separated list is intended to be used to create a _unused_ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10399 signal. Using the exact name \"_unused_ok\" for name of the temporary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10400 signal is recommended as it will insure maximum forward compatibility, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10401 also makes lint warnings easy to understand; ignore any unused warnings
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10402 with \"unused\" in the signal name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10403
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10404 To reduce simulation time, the _unused_ok signal should be forced to a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10405 constant to prevent wiggling. The easiest thing to do is use a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10406 reduction-and with 1'b0 as shown.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10407
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10408 This way all unused signals are in one place, making it convenient to add
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10409 your tool's specific pragmas around the assignment to disable any unused
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10410 warnings.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10411
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10412 You can add signals you do not want included in AUTOUNUSED with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10413 `verilog-auto-unused-ignore-regexp'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10414
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10415 An example of making a stub for another module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10416
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10417 module ExampStub (/*AUTOINST*/);
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10418 /*AUTOINOUTMODULE(\"Examp\")*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10419 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10420 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10421 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10422 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10423 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10424 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10425 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10426
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10427 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10428
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10429 ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10430 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10431 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10432 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10433 // Beginning of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10434 unused_input_a,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10435 unused_input_b,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10436 unused_input_c,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10437 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10438 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10439 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10440 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10441 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10442 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10443 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10444 (let* ((indent-pt (progn (search-backward "/*") (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10445 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10446 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10447 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10448 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10449 (append (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10450 (verilog-decls-get-inouts moddecls))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10451 (append (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10452 (verilog-subdecls-get-inouts modsubdecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10453 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10454 sig-list verilog-auto-unused-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10455 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10456 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10457 (verilog-insert-indent "// Beginning of automatic unused inputs\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10458 (setq sig-list (sort (copy-alist sig-list) `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10459 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10460 (let ((sig (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10461 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10462 (insert (verilog-sig-name sig) ",\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10463 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10464 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10465
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10466 (defun verilog-enum-ascii (signm elim-regexp)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10467 "Convert an enum name SIGNM to an ascii string for insertion.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10468 Remove user provided prefix ELIM-REGEXP."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10469 (or elim-regexp (setq elim-regexp "_ DONT MATCH IT_"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10470 (let ((case-fold-search t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10471 ;; All upper becomes all lower for readability
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10472 (downcase (verilog-string-replace-matches elim-regexp "" nil nil signm))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10474 (defun verilog-auto-ascii-enum ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10475 "Expand AUTOASCIIENUM statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10476 Create a register to contain the ASCII decode of a enumerated signal type.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10477 This will allow trace viewers to show the ASCII name of states.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10478
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10479 First, parameters are built into a enumeration using the synopsys enum
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10480 comment. The comment must be between the keyword and the symbol.
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10481 \(Annoying, but that's what Synopsys's dc_shell FSM reader requires.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10482
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10483 Next, registers which that enum applies to are also tagged with the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10484 enum. Synopsys also suggests labeling state vectors, but `verilog-mode'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10485 doesn't care.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10486
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10487 Finally, a AUTOASCIIENUM command is used.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10488
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10489 The first parameter is the name of the signal to be decoded.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10490 If and only if the first parameter width is 2^(number of states
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10491 in enum) and does NOT match the width of the enum, the signal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10492 is assumed to be a one hot decode. Otherwise, it's a normal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10493 encoded state vector.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10494
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10495 The second parameter is the name to store the ASCII code into. For the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10496 signal foo, I suggest the name _foo__ascii, where the leading _ indicates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10497 a signal that is just for simulation, and the magic characters _ascii
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10498 tell viewers like Dinotrace to display in ASCII format.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10499
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10500 The final optional parameter is a string which will be removed from the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10501 state names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10502
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10503 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10504
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10505 //== State enumeration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10506 parameter [2:0] // synopsys enum state_info
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10507 SM_IDLE = 3'b000,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10508 SM_SEND = 3'b001,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10509 SM_WAIT1 = 3'b010;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10510 //== State variables
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10511 reg [2:0] /* synopsys enum state_info */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10512 state_r; /* synopsys state_vector state_r */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10513 reg [2:0] /* synopsys enum state_info */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10514 state_e1;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10515
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10516 /*AUTOASCIIENUM(\"state_r\", \"state_ascii_r\", \"SM_\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10517
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10518 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10519
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10520 ... same front matter ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10521
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10522 /*AUTOASCIIENUM(\"state_r\", \"state_ascii_r\", \"SM_\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10523 // Beginning of automatic ASCII enum decoding
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10524 reg [39:0] state_ascii_r; // Decode of state_r
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10525 always @(state_r) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10526 case ({state_r})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10527 SM_IDLE: state_ascii_r = \"idle \";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10528 SM_SEND: state_ascii_r = \"send \";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10529 SM_WAIT1: state_ascii_r = \"wait1\";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10530 default: state_ascii_r = \"%Erro\";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10531 endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10532 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10533 // End of automatics"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10534 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10535 (let* ((params (verilog-read-auto-params 2 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10536 (undecode-name (nth 0 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10537 (ascii-name (nth 1 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10538 (elim-regexp (nth 2 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10539 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10540 (indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10541 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10542 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10543 ;;
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10544 (sig-list-consts (append (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10545 (verilog-decls-get-gparams moddecls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10546 (sig-list-all (append (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10547 (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10548 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10549 (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10550 (verilog-decls-get-wires moddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10551 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10552 (undecode-sig (or (assoc undecode-name sig-list-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10553 (error "%s: Signal %s not found in design" (verilog-point-text) undecode-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10554 (undecode-enum (or (verilog-sig-enum undecode-sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10555 (error "%s: Signal %s does not have a enum tag" (verilog-point-text) undecode-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10556 ;;
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10557 (enum-sigs (verilog-signals-not-in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10558 (or (verilog-signals-matching-enum sig-list-consts undecode-enum)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10559 (error "%s: No state definitions for %s" (verilog-point-text) undecode-enum))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10560 nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10561 ;;
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10562 (one-hot (and ;; width(enum) != width(sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10563 (or (not (verilog-sig-bits (car enum-sigs)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10564 (not (equal (verilog-sig-width (car enum-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10565 (verilog-sig-width undecode-sig))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10566 ;; count(enums) == width(sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10567 (equal (number-to-string (length enum-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10568 (verilog-sig-width undecode-sig))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10569 (enum-chars 0)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10570 (ascii-chars 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10571 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10572 ;; Find number of ascii chars needed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10573 (let ((tmp-sigs enum-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10574 (while tmp-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10575 (setq enum-chars (max enum-chars (length (verilog-sig-name (car tmp-sigs))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10576 ascii-chars (max ascii-chars (length (verilog-enum-ascii
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10577 (verilog-sig-name (car tmp-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10578 elim-regexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10579 tmp-sigs (cdr tmp-sigs))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10580 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10581 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10582 (verilog-insert-indent "// Beginning of automatic ASCII enum decoding\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10583 (let ((decode-sig-list (list (list ascii-name (format "[%d:0]" (- (* ascii-chars 8) 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10584 (concat "Decode of " undecode-name) nil nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10585 (verilog-insert-definition decode-sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10586 (verilog-modi-cache-add-regs modi decode-sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10587 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10588 (verilog-insert-indent "always @(" undecode-name ") begin\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10589 (setq indent-pt (+ indent-pt verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10590 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10591 (insert "case ({" undecode-name "})\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10592 (setq indent-pt (+ indent-pt verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10593 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10594 (let ((tmp-sigs enum-sigs)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10595 (chrfmt (format "%%-%ds %s = \"%%-%ds\";\n"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10596 (+ (if one-hot 9 1) (max 8 enum-chars))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10597 ascii-name ascii-chars))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10598 (errname (substring "%Error" 0 (min 6 ascii-chars))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10599 (while tmp-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10600 (verilog-insert-indent
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10601 (concat
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10602 (format chrfmt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10603 (concat (if one-hot "(")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10604 (if one-hot (verilog-sig-width undecode-sig))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10605 ;; We use a shift instead of var[index]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10606 ;; so that a non-one hot value will show as error.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10607 (if one-hot "'b1<<")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10608 (verilog-sig-name (car tmp-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10609 (if one-hot ")") ":")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10610 (verilog-enum-ascii (verilog-sig-name (car tmp-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10611 elim-regexp))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10612 (setq tmp-sigs (cdr tmp-sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10613 (verilog-insert-indent (format chrfmt "default:" errname)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10614 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10615 (setq indent-pt (- indent-pt verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10616 (verilog-insert-indent "endcase\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10617 (setq indent-pt (- indent-pt verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10618 (verilog-insert-indent "end\n"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10619 "// End of automatics\n"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10620
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10621 (defun verilog-auto-templated-rel ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10622 "Replace Templated relative line numbers with absolute line numbers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10623 Internal use only. This hacks around the line numbers in AUTOINST Templates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10624 being different from the final output's line numbering."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10625 (let ((templateno 0) (template-line (list 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10626 ;; Find line number each template is on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10627 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10628 (while (search-forward "AUTO_TEMPLATE" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10629 (setq templateno (1+ templateno))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10630 (setq template-line
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10631 (cons (count-lines (point-min) (point)) template-line)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10632 (setq template-line (nreverse template-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10633 ;; Replace T# L# with absolute line number
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10634 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10635 (while (re-search-forward " Templated T\\([0-9]+\\) L\\([0-9]+\\)" nil t)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10636 (replace-match
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10637 (concat " Templated "
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10638 (int-to-string (+ (nth (string-to-number (match-string 1))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10639 template-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10640 (string-to-number (match-string 2)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10641 t t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10642
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10643
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10644 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10645 ;; Auto top level
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10646 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10647
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10648 (defun verilog-auto (&optional inject) ; Use verilog-inject-auto instead of passing a arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10649 "Expand AUTO statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10650 Look for any /*AUTO...*/ commands in the code, as used in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10651 instantiations or argument headers. Update the list of signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10652 following the /*AUTO...*/ command.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10653
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10654 Use \\[verilog-delete-auto] to remove the AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10655
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10656 Use \\[verilog-inject-auto] to insert AUTOs for the first time.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10657
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10658 Use \\[verilog-faq] for a pointer to frequently asked questions.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10659
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10660 The hooks `verilog-before-auto-hook' and `verilog-auto-hook' are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10661 called before and after this function, respectively.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10663 For example:
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10664 module ModuleName (/*AUTOARG*/)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10665 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10666 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10667 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10668 /*AUTOREG*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10669 InstMod instName #(/*AUTOINSTPARAM*/) (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10670
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10671 You can also update the AUTOs from the shell using:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10672 emacs --batch <filenames.v> -f verilog-batch-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10673 Or fix indentation with:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10674 emacs --batch <filenames.v> -f verilog-batch-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10675 Likewise, you can delete or inject AUTOs with:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10676 emacs --batch <filenames.v> -f verilog-batch-delete-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10677 emacs --batch <filenames.v> -f verilog-batch-inject-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10678
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10679 Using \\[describe-function], see also:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10680 `verilog-auto-arg' for AUTOARG module instantiations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10681 `verilog-auto-ascii-enum' for AUTOASCIIENUM enumeration decoding
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10682 `verilog-auto-inout-comp' for AUTOINOUTCOMP copy complemented i/o
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10683 `verilog-auto-inout-module' for AUTOINOUTMODULE copying i/o from elsewhere
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10684 `verilog-auto-inout' for AUTOINOUT making hierarchy inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10685 `verilog-auto-input' for AUTOINPUT making hierarchy inputs
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10686 `verilog-auto-insert-lisp' for AUTOINSERTLISP insert code from lisp function
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10687 `verilog-auto-inst' for AUTOINST instantiation pins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10688 `verilog-auto-star' for AUTOINST .* SystemVerilog pins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10689 `verilog-auto-inst-param' for AUTOINSTPARAM instantiation params
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10690 `verilog-auto-output' for AUTOOUTPUT making hierarchy outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10691 `verilog-auto-output-every' for AUTOOUTPUTEVERY making all outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10692 `verilog-auto-reg' for AUTOREG registers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10693 `verilog-auto-reg-input' for AUTOREGINPUT instantiation registers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10694 `verilog-auto-reset' for AUTORESET flop resets
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10695 `verilog-auto-sense' for AUTOSENSE always sensitivity lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10696 `verilog-auto-tieoff' for AUTOTIEOFF output tieoffs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10697 `verilog-auto-unused' for AUTOUNUSED unused inputs/inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10698 `verilog-auto-wire' for AUTOWIRE instantiation wires
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10699
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10700 `verilog-read-defines' for reading `define values
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10701 `verilog-read-includes' for reading `includes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10702
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10703 If you have bugs with these autos, please file an issue at
104029
55ba5af4bf3a Kevin Ryde <user42 at zip.com.au>
Glenn Morris <rgm@gnu.org>
parents: 103980
diff changeset
10704 URL `http://www.veripool.org/verilog-mode' or contact the AUTOAUTHOR
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10705 Wilson Snyder (wsnyder@wsnyder.org)."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10706 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10707 (unless noninteractive (message "Updating AUTOs..."))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10708 (if (fboundp 'dinotrace-unannotate-all)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10709 (dinotrace-unannotate-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10710 (let ((oldbuf (if (not (buffer-modified-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10711 (buffer-string)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10712 ;; Before version 20, match-string with font-lock returns a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10713 ;; vector that is not equal to the string. IE if on "input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10714 ;; nil==(equal "input" (progn (looking-at "input") (match-string 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10715 (fontlocked (when (and (boundp 'font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10716 font-lock-mode)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10717 (font-lock-mode 0)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10718 t))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10719 ;; Cache directories; we don't write new files, so can't change
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10720 (verilog-dir-cache-preserving t))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10721 (unwind-protect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10722 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10723 ;; If we're not in verilog-mode, change syntax table so parsing works right
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10724 (unless (eq major-mode `verilog-mode) (verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10725 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10726 (run-hooks 'verilog-before-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10727 ;; Try to save the user from needing to revert-file to reread file local-variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10728 (verilog-auto-reeval-locals)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10729 (verilog-read-auto-lisp (point-min) (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10730 (verilog-getopt-flags)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10731 ;; From here on out, we can cache anything we read from disk
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10732 (verilog-preserve-dir-cache
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10733 ;; These two may seem obvious to do always, but on large includes it can be way too slow
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10734 (when verilog-auto-read-includes
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10735 (verilog-read-includes)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10736 (verilog-read-defines nil nil t))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10737 ;; This particular ordering is important
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10738 ;; INST: Lower modules correct, no internal dependencies, FIRST
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10739 (verilog-preserve-modi-cache
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10740 ;; Clear existing autos else we'll be screwed by existing ones
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10741 (verilog-delete-auto)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10742 ;; Injection if appropriate
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10743 (when inject
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10744 (verilog-inject-inst)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10745 (verilog-inject-sense)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10746 (verilog-inject-arg))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10747 ;;
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10748 ;; Do user inserts first, so their code can insert AUTOs
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10749 ;; We may provide a AUTOINSERTLISPLAST if another cleanup pass is needed
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10750 (verilog-auto-re-search-do "/\\*AUTOINSERTLISP(.*?)\\*/"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10751 'verilog-auto-insert-lisp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10752 ;; Expand instances before need the signals the instances input/output
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10753 (verilog-auto-re-search-do "/\\*AUTOINSTPARAM\\*/" 'verilog-auto-inst-param)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10754 (verilog-auto-re-search-do "/\\*AUTOINST\\*/" 'verilog-auto-inst)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10755 (verilog-auto-re-search-do "\\.\\*" 'verilog-auto-star)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10756 ;; Doesn't matter when done, but combine it with a common changer
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10757 (verilog-auto-re-search-do "/\\*\\(AUTOSENSE\\|AS\\)\\*/" 'verilog-auto-sense)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10758 (verilog-auto-re-search-do "/\\*AUTORESET\\*/" 'verilog-auto-reset)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10759 ;; Must be done before autoin/out as creates a reg
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10760 (verilog-auto-re-search-do "/\\*AUTOASCIIENUM([^)]*)\\*/" 'verilog-auto-ascii-enum)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10761 ;;
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10762 ;; first in/outs from other files
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10763 (verilog-auto-re-search-do "/\\*AUTOINOUTMODULE([^)]*)\\*/" 'verilog-auto-inout-module)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10764 (verilog-auto-re-search-do "/\\*AUTOINOUTCOMP([^)]*)\\*/" 'verilog-auto-inout-comp)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10765 ;; next in/outs which need previous sucked inputs first
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10766 (verilog-auto-re-search-do "/\\*AUTOOUTPUT\\((\"[^\"]*\")\\)\\*/"
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10767 '(lambda () (verilog-auto-output t)))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10768 (verilog-auto-re-search-do "/\\*AUTOOUTPUT\\*/" 'verilog-auto-output)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10769 (verilog-auto-re-search-do "/\\*AUTOINPUT\\((\"[^\"]*\")\\)\\*/"
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10770 '(lambda () (verilog-auto-input t)))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10771 (verilog-auto-re-search-do "/\\*AUTOINPUT\\*/" 'verilog-auto-input)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10772 (verilog-auto-re-search-do "/\\*AUTOINOUT\\((\"[^\"]*\")\\)\\*/"
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10773 '(lambda () (verilog-auto-inout t)))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10774 (verilog-auto-re-search-do "/\\*AUTOINOUT\\*/" 'verilog-auto-inout)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10775 ;; Then tie off those in/outs
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10776 (verilog-auto-re-search-do "/\\*AUTOTIEOFF\\*/" 'verilog-auto-tieoff)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10777 ;; Wires/regs must be after inputs/outputs
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10778 (verilog-auto-re-search-do "/\\*AUTOWIRE\\*/" 'verilog-auto-wire)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10779 (verilog-auto-re-search-do "/\\*AUTOREG\\*/" 'verilog-auto-reg)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10780 (verilog-auto-re-search-do "/\\*AUTOREGINPUT\\*/" 'verilog-auto-reg-input)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10781 ;; outputevery needs AUTOOUTPUTs done first
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10782 (verilog-auto-re-search-do "/\\*AUTOOUTPUTEVERY\\*/" 'verilog-auto-output-every)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10783 ;; After we've created all new variables
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10784 (verilog-auto-re-search-do "/\\*AUTOUNUSED\\*/" 'verilog-auto-unused)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10785 ;; Must be after all inputs outputs are generated
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10786 (verilog-auto-re-search-do "/\\*AUTOARG\\*/" 'verilog-auto-arg)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10787 ;; Fix line numbers (comments only)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10788 (verilog-auto-templated-rel)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10789 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10790 (run-hooks 'verilog-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10791 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10792 (set (make-local-variable 'verilog-auto-update-tick) (buffer-modified-tick))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10793 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10794 ;; If end result is same as when started, clear modified flag
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10795 (cond ((and oldbuf (equal oldbuf (buffer-string)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10796 (set-buffer-modified-p nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10797 (unless noninteractive (message "Updating AUTOs...done (no changes)")))
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10798 (t (unless noninteractive (message "Updating AUTOs...done")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10799 ;; Unwind forms
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10800 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10801 ;; Restore font-lock
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10802 (when fontlocked (font-lock-mode t))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10803
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10804
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10805 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10806 ;; Skeleton based code insertion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10807 ;;
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10808 (defvar verilog-template-map
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10809 (let ((map (make-sparse-keymap)))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10810 (define-key map "a" 'verilog-sk-always)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10811 (define-key map "b" 'verilog-sk-begin)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10812 (define-key map "c" 'verilog-sk-case)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10813 (define-key map "f" 'verilog-sk-for)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10814 (define-key map "g" 'verilog-sk-generate)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10815 (define-key map "h" 'verilog-sk-header)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10816 (define-key map "i" 'verilog-sk-initial)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10817 (define-key map "j" 'verilog-sk-fork)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10818 (define-key map "m" 'verilog-sk-module)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10819 (define-key map "p" 'verilog-sk-primitive)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10820 (define-key map "r" 'verilog-sk-repeat)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10821 (define-key map "s" 'verilog-sk-specify)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10822 (define-key map "t" 'verilog-sk-task)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10823 (define-key map "w" 'verilog-sk-while)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10824 (define-key map "x" 'verilog-sk-casex)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10825 (define-key map "z" 'verilog-sk-casez)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10826 (define-key map "?" 'verilog-sk-if)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10827 (define-key map ":" 'verilog-sk-else-if)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10828 (define-key map "/" 'verilog-sk-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10829 (define-key map "A" 'verilog-sk-assign)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10830 (define-key map "F" 'verilog-sk-function)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10831 (define-key map "I" 'verilog-sk-input)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10832 (define-key map "O" 'verilog-sk-output)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10833 (define-key map "S" 'verilog-sk-state-machine)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10834 (define-key map "=" 'verilog-sk-inout)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10835 (define-key map "W" 'verilog-sk-wire)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10836 (define-key map "R" 'verilog-sk-reg)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10837 (define-key map "D" 'verilog-sk-define-signal)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10838 map)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10839 "Keymap used in Verilog mode for smart template operations.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10840
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10841
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10842 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10843 ;; Place the templates into Verilog Mode. They may be inserted under any key.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10844 ;; C-c C-t will be the default. If you use templates a lot, you
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10845 ;; may want to consider moving the binding to another key in your .emacs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10846 ;; file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10847 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10848 ;(define-key verilog-mode-map "\C-ct" verilog-template-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10849 (define-key verilog-mode-map "\C-c\C-t" verilog-template-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10850
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10851 ;;; ---- statement skeletons ------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10852
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10853 (define-skeleton verilog-sk-prompt-condition
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10854 "Prompt for the loop condition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10855 "[condition]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10856
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10857 (define-skeleton verilog-sk-prompt-init
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10858 "Prompt for the loop init statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10859 "[initial statement]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10860
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10861 (define-skeleton verilog-sk-prompt-inc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10862 "Prompt for the loop increment statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10863 "[increment statement]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10864
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10865 (define-skeleton verilog-sk-prompt-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10866 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10867 "[name]: " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10868
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10869 (define-skeleton verilog-sk-prompt-clock
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10870 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10871 "name and edge of clock(s): " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10872
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10873 (defvar verilog-sk-reset nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10874 (defun verilog-sk-prompt-reset ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10875 "Prompt for the name of a state machine reset."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10876 (setq verilog-sk-reset (read-string "name of reset: " "rst")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10877
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10878
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10879 (define-skeleton verilog-sk-prompt-state-selector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10880 "Prompt for the name of a state machine selector."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10881 "name of selector (eg {a,b,c,d}): " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10882
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10883 (define-skeleton verilog-sk-prompt-output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10884 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10885 "output: " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10886
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10887 (define-skeleton verilog-sk-prompt-msb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10888 "Prompt for least significant bit specification."
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10889 "msb:" str & ?: & '(verilog-sk-prompt-lsb) | -1 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10890
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10891 (define-skeleton verilog-sk-prompt-lsb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10892 "Prompt for least significant bit specification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10893 "lsb:" str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10894
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10895 (defvar verilog-sk-p nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10896 (define-skeleton verilog-sk-prompt-width
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10897 "Prompt for a width specification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10898 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10899 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10900 (setq verilog-sk-p (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10901 (verilog-sk-prompt-msb)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10902 (if (> (point) verilog-sk-p) "] " " ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10903
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10904 (defun verilog-sk-header ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10905 "Insert a descriptive header at the top of the file.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10906 See also `verilog-header' for an alternative format."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10907 (interactive "*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10908 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10909 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10910 (verilog-sk-header-tmpl)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10911
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10912 (define-skeleton verilog-sk-header-tmpl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10913 "Insert a comment block containing the module title, author, etc."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10914 "[Description]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10915 "// -*- Mode: Verilog -*-"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10916 "\n// Filename : " (buffer-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10917 "\n// Description : " str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10918 "\n// Author : " (user-full-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10919 "\n// Created On : " (current-time-string)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10920 "\n// Last Modified By: " (user-full-name)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10921 "\n// Last Modified On: " (current-time-string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10922 "\n// Update Count : 0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10923 "\n// Status : Unknown, Use with caution!"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10924 "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10925
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10926 (define-skeleton verilog-sk-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10927 "Insert a module definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10928 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10929 > "module " '(verilog-sk-prompt-name) " (/*AUTOARG*/ ) ;" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10930 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10931 > (- verilog-indent-level-behavioral) "endmodule" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10932
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10933 (define-skeleton verilog-sk-primitive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10934 "Insert a task definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10935 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10936 > "primitive " '(verilog-sk-prompt-name) " ( " '(verilog-sk-prompt-output) ("input:" ", " str ) " );"\n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10937 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10938 > (- verilog-indent-level-behavioral) "endprimitive" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10939
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10940 (define-skeleton verilog-sk-task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10941 "Insert a task definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10942 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10943 > "task " '(verilog-sk-prompt-name) & ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10944 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10945 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10946 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10947 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10948 > (- verilog-indent-level-behavioral) "endtask" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10949
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10950 (define-skeleton verilog-sk-function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10951 "Insert a function definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10952 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10953 > "function [" '(verilog-sk-prompt-width) | -1 '(verilog-sk-prompt-name) ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10954 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10955 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10956 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10957 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10958 > (- verilog-indent-level-behavioral) "endfunction" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10959
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10960 (define-skeleton verilog-sk-always
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10961 "Insert always block. Uses the minibuffer to prompt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10962 for sensitivity list."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10963 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10964 > "always @ ( /*AUTOSENSE*/ ) begin\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10965 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10966 > (- verilog-indent-level-behavioral) "end" \n >
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10967 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10968
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10969 (define-skeleton verilog-sk-initial
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10970 "Insert an initial block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10971 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10972 > "initial begin\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10973 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10974 > (- verilog-indent-level-behavioral) "end" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10975
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10976 (define-skeleton verilog-sk-specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10977 "Insert specify block. "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10978 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10979 > "specify\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10980 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10981 > (- verilog-indent-level-behavioral) "endspecify" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10982
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10983 (define-skeleton verilog-sk-generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10984 "Insert generate block. "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10985 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10986 > "generate\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10987 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10988 > (- verilog-indent-level-behavioral) "endgenerate" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10989
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10990 (define-skeleton verilog-sk-begin
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10991 "Insert begin end block. Uses the minibuffer to prompt for name."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10992 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10993 > "begin" '(verilog-sk-prompt-name) \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10994 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10995 > (- verilog-indent-level-behavioral) "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10996 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10997
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10998 (define-skeleton verilog-sk-fork
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10999 "Insert a fork join block."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11000 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11001 > "fork\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11002 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11003 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11004 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11005 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11006 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11007 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11008 > (- verilog-indent-level-behavioral) "join" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11009 > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11010
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11011
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11012 (define-skeleton verilog-sk-case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11013 "Build skeleton case statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11014 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11015 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11016 > "case (" str ") " \n
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
11017 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n > )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11018 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11019
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11020 (define-skeleton verilog-sk-casex
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11021 "Build skeleton casex statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11022 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11023 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11024 > "casex (" str ") " \n
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
11025 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n > )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11026 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11027
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11028 (define-skeleton verilog-sk-casez
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11029 "Build skeleton casez statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11030 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11031 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11032 > "casez (" str ") " \n
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
11033 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n > )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11034 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11035
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11036 (define-skeleton verilog-sk-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11037 "Insert a skeleton if statement."
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11038 > "if (" '(verilog-sk-prompt-condition) & ")" " begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11039 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11040 > (- verilog-indent-level-behavioral) "end " \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11041
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11042 (define-skeleton verilog-sk-else-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11043 "Insert a skeleton else if statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11044 > (verilog-indent-line) "else if ("
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11045 (progn (setq verilog-sk-p (point)) nil) '(verilog-sk-prompt-condition) (if (> (point) verilog-sk-p) ") " -1 ) & " begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11046 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11047 > "end" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11048
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11049 (define-skeleton verilog-sk-datadef
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11050 "Common routine to get data definition."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11051 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11052 '(verilog-sk-prompt-width) | -1 ("name (RET to end):" str ", ") -2 ";" \n)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11053
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11054 (define-skeleton verilog-sk-input
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11055 "Insert an input definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11056 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11057 > "input [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11058
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11059 (define-skeleton verilog-sk-output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11060 "Insert an output definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11061 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11062 > "output [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11063
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11064 (define-skeleton verilog-sk-inout
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11065 "Insert an inout definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11066 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11067 > "inout [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11068
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11069 (defvar verilog-sk-signal nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11070 (define-skeleton verilog-sk-def-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11071 "Insert a reg definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11072 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11073 > "reg [" '(verilog-sk-prompt-width) | -1 verilog-sk-signal ";" \n (verilog-pretty-declarations) )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11074
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11075 (defun verilog-sk-define-signal ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11076 "Insert a definition of signal under point at top of module."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11077 (interactive "*")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11078 (let* ((sig-re "[a-zA-Z0-9_]*")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11079 (v1 (buffer-substring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11080 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11081 (skip-chars-backward sig-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11082 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11083 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11084 (skip-chars-forward sig-re)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11085 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11086 (if (not (member v1 verilog-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11087 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11088 (setq verilog-sk-signal v1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11089 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11090 (verilog-end-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11091 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11092 (verilog-sk-def-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11093 (message "signal at point is %s" v1))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11094 (message "object at point (%s) is a keyword" v1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11095
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11096 (define-skeleton verilog-sk-wire
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11097 "Insert a wire definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11098 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11099 > "wire [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11100
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11101 (define-skeleton verilog-sk-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11102 "Insert a reg definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11103 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11104 > "reg [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11105
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11106 (define-skeleton verilog-sk-assign
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11107 "Insert a skeleton assign statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11108 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11109 > "assign " '(verilog-sk-prompt-name) " = " _ ";" \n)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11110
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11111 (define-skeleton verilog-sk-while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11112 "Insert a skeleton while loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11113 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11114 > "while (" '(verilog-sk-prompt-condition) ") begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11115 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11116 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11117
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11118 (define-skeleton verilog-sk-repeat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11119 "Insert a skeleton repeat loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11120 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11121 > "repeat (" '(verilog-sk-prompt-condition) ") begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11122 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11123 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11124
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11125 (define-skeleton verilog-sk-for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11126 "Insert a skeleton while loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11127 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11128 > "for ("
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11129 '(verilog-sk-prompt-init) "; "
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11130 '(verilog-sk-prompt-condition) "; "
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11131 '(verilog-sk-prompt-inc)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11132 ") begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11133 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11134 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11135
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11136 (define-skeleton verilog-sk-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11137 "Inserts three comment lines, making a display comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11138 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11139 > "/*\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11140 > "* " _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11141 > "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11142
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11143 (define-skeleton verilog-sk-state-machine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11144 "Insert a state machine definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11145 "Name of state variable: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11146 '(setq input "state")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11147 > "// State registers for " str | -23 \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11148 '(setq verilog-sk-state str)
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11149 > "reg [" '(verilog-sk-prompt-width) | -1 verilog-sk-state ", next_" verilog-sk-state ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11150 '(setq input nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11151 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11152 > "// State FF for " verilog-sk-state \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11153 > "always @ ( " (read-string "clock:" "posedge clk") " or " (verilog-sk-prompt-reset) " ) begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11154 > "if ( " verilog-sk-reset " ) " verilog-sk-state " = 0; else" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11155 > verilog-sk-state " = next_" verilog-sk-state ?; \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11156 > (- verilog-indent-level-behavioral) "end" (progn (electric-verilog-terminate-line) nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11157 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11158 > "// Next State Logic for " verilog-sk-state \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11159 > "always @ ( /*AUTOSENSE*/ ) begin\n"
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
11160 > "case (" '(verilog-sk-prompt-state-selector) ") " \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11161 > ("case selector: " str ": begin" \n > "next_" verilog-sk-state " = " _ ";" \n > (- verilog-indent-level-behavioral) "end" \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11162 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11163 > (- verilog-indent-level-behavioral) "end" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11164
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11165
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11166 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11167 ;; Include file loading with mouse/return event
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11168 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11169 ;; idea & first impl.: M. Rouat (eldo-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11170 ;; second (emacs/xemacs) impl.: G. Van der Plas (spice-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11171
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11172 (if (featurep 'xemacs)
104581
03bf18369e45 (top-level): Don't require lucid (and hence run-time cl).
Glenn Morris <rgm@gnu.org>
parents: 104029
diff changeset
11173 (require 'overlay))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11174
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11175 (defconst verilog-include-file-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11176 "^`include\\s-+\"\\([^\n\"]*\\)\""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11177 "Regexp that matches the include file.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11178
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11179 (defvar verilog-mode-mouse-map
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11180 (let ((map (make-sparse-keymap))) ; as described in info pages, make a map
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11181 (set-keymap-parent map verilog-mode-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11182 ;; mouse button bindings
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11183 (define-key map "\r" 'verilog-load-file-at-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11184 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11185 (define-key map 'button2 'verilog-load-file-at-mouse);ffap-at-mouse ?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11186 (define-key map [mouse-2] 'verilog-load-file-at-mouse))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11187 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11188 (define-key map 'Sh-button2 'mouse-yank) ; you wanna paste don't you ?
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11189 (define-key map [S-mouse-2] 'mouse-yank-at-click))
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11190 map)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
11191 "Map containing mouse bindings for `verilog-mode'.")
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
11192
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11193
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11194 (defun verilog-colorize-include-files (beg end old-len)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11195 "This function colorizes included files when the mouse passes over them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11196 Clicking on the middle-mouse button loads them in a buffer (as in dired)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11197 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11198 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11199 (let (end-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11200 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11201 (setq end-point (verilog-get-end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11202 (goto-char beg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11203 (beginning-of-line) ; scan entire line !
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11204 ;; delete overlays existing on this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11205 (let ((overlays (overlays-in (point) end-point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11206 (while overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11207 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11208 (overlay-get (car overlays) 'detachable)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11209 (overlay-get (car overlays) 'verilog-include-file))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11210 (delete-overlay (car overlays)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11211 (setq overlays (cdr overlays)))) ; let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11212 ;; make new ones, could reuse deleted one ?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11213 (while (search-forward-regexp verilog-include-file-regexp end-point t)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11214 (let (ov)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11215 (goto-char (match-beginning 1))
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11216 (setq ov (make-overlay (match-beginning 1) (match-end 1)))
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11217 (overlay-put ov 'start-closed 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11218 (overlay-put ov 'end-closed 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11219 (overlay-put ov 'evaporate 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11220 (overlay-put ov 'verilog-include-file 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11221 (overlay-put ov 'mouse-face 'highlight)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
11222 (overlay-put ov 'local-map verilog-mode-mouse-map)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11223
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11224
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11225 (defun verilog-colorize-include-files-buffer ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11226 "Colorize an include file."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11227 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11228 ;; delete overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11229 (let ((overlays (overlays-in (point-min) (point-max))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11230 (while overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11231 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11232 (overlay-get (car overlays) 'detachable)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11233 (overlay-get (car overlays) 'verilog-include-file))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11234 (delete-overlay (car overlays)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11235 (setq overlays (cdr overlays)))) ; let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11236 ;; remake overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11237 (verilog-colorize-include-files (point-min) (point-max) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11238
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11239 ;; ffap-at-mouse isn't useful for Verilog mode. It uses library paths.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11240 ;; so define this function to do more or less the same as ffap-at-mouse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11241 ;; but first resolve filename...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11242 (defun verilog-load-file-at-mouse (event)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11243 "Load file under button 2 click's EVENT.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11244 Files are checked based on `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11245 (interactive "@e")
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11246 (save-excursion ;; implement a Verilog specific ffap-at-mouse
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11247 (mouse-set-point event)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11248 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11249 (if (looking-at verilog-include-file-regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11250 (if (and (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11251 (match-string 1) (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11252 (file-readable-p (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11253 (match-string 1) (buffer-file-name)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11254 (find-file (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11255 (match-string 1) (buffer-file-name))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11256 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11257 (message
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11258 "File '%s' isn't readable, use shift-mouse2 to paste in this field"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11259 (match-string 1)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11260
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11261 ;; ffap isn't useable for Verilog mode. It uses library paths.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11262 ;; so define this function to do more or less the same as ffap
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11263 ;; but first resolve filename...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11264 (defun verilog-load-file-at-point ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11265 "Load file under point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11266 Files are checked based on `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11267 (interactive)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11268 (save-excursion ;; implement a Verilog specific ffap
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11269 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11270 (if (looking-at verilog-include-file-regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11271 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11272 (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11273 (match-string 1) (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11274 (file-readable-p (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11275 (match-string 1) (buffer-file-name)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11276 (find-file (car (verilog-library-filenames
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11277 (match-string 1) (buffer-file-name))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11278
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11279
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11280 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11281 ;; Bug reporting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11282 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11283
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11284 (defun verilog-faq ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11285 "Tell the user their current version, and where to get the FAQ etc."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11286 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11287 (with-output-to-temp-buffer "*verilog-mode help*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11288 (princ (format "You are using verilog-mode %s\n" verilog-mode-version))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11289 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11290 (princ "For new releases, see http://www.verilog.com\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11291 (princ "\n")
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11292 (princ "For frequently asked questions, see http://www.veripool.org/verilog-mode-faq.html\n")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11293 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11294 (princ "To submit a bug, use M-x verilog-submit-bug-report\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11295 (princ "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11296
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
11297 (autoload 'reporter-submit-bug-report "reporter")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11298 (defvar reporter-prompt-for-summary-p)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
11299
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11300 (defun verilog-submit-bug-report ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11301 "Submit via mail a bug report on verilog-mode.el."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11302 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11303 (let ((reporter-prompt-for-summary-p t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11304 (reporter-submit-bug-report
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11305 "mac@verilog.com, wsnyder@wsnyder.org"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11306 (concat "verilog-mode v" verilog-mode-version)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11307 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11308 verilog-align-ifelse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11309 verilog-auto-endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11310 verilog-auto-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11311 verilog-auto-indent-on-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11312 verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11313 verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11314 verilog-auto-lineup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11315 verilog-auto-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11316 verilog-auto-save-policy
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11317 verilog-auto-sense-defines-constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11318 verilog-auto-sense-include-inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11319 verilog-before-auto-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11320 verilog-case-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11321 verilog-cexp-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11322 verilog-compiler
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11323 verilog-coverage
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11324 verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11325 verilog-indent-begin-after-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11326 verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11327 verilog-indent-level
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11328 verilog-indent-level-behavioral
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11329 verilog-indent-level-declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11330 verilog-indent-level-directive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11331 verilog-indent-level-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11332 verilog-indent-lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11333 verilog-library-flags
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11334 verilog-library-directories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11335 verilog-library-extensions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11336 verilog-library-files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11337 verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11338 verilog-minimum-comment-distance
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11339 verilog-mode-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11340 verilog-simulator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11341 verilog-tab-always-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11342 verilog-tab-to-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11343 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11344 nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11345 (concat "Hi Mac,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11346
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11347 I want to report a bug.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11348
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11349 Before I go further, I want to say that Verilog mode has changed my life.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11350 I save so much time, my files are colored nicely, my co workers respect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11351 my coding ability... until now. I'd really appreciate anything you
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11352 could do to help me out with this minor deficiency in the product.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11353
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11354 I've taken a look at the Verilog-Mode FAQ at
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11355 http://www.veripool.org/verilog-mode-faq.html.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11356
106534
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11357 And, I've considered filing the bug on the issue tracker at
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11358 http://www.veripool.org/verilog-mode-bugs
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11359 since I realize that public bugs are easier for you to track,
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11360 and for others to search, but would prefer to email.
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11361
cad98e90ecc5 * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 106265
diff changeset
11362 So, to reproduce the bug, start a fresh Emacs via " invocation-name "
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11363 -no-init-file -no-site-file'. In a new buffer, in Verilog mode, type
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11364 the code included below.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11365
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11366 Given those lines, I expected [[Fill in here]] to happen;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11367 but instead, [[Fill in here]] happens!.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11368
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11369 == The code: =="))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11370
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
11371 (provide 'verilog-mode)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
11372
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11373 ;; Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11374 ;; checkdoc-permit-comma-termination-flag:t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11375 ;; checkdoc-force-docstrings-flag:nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11376 ;; End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11377
79552
23c53aca1e84 Add arch tagline
Miles Bader <miles@gnu.org>
parents: 79551
diff changeset
11378 ;; arch-tag: 87923725-57b3-41b5-9494-be21118c6a6f
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11379 ;;; verilog-mode.el ends here